A 4-fJ/b Delay-Hardened Physically Unclonable Function Circuit With Selective Bit Destabilization in 14-nm Trigate CMOS

This paper describes a full-entropy 128-b key generation platform based on a 1024-b hybrid physically unclonable function (PUF) array, fabricated in 14-nm trigate high-k/metal-gate CMOS. Delay-hardened hybrid PUF cells use differential clock delay insertion to favor circuit evaluation in the desired direction while leveraging burn-in-induced aging for selective bit destabilization enabling quick identification and masking of unstable cells, and subsequent temporal-majority-voting with soft dark-bit masking to reduce PUF bit error by 3.9 times to 1.45% resulting in ~5 ppb failure probability. A stable full-entropy 128-b key is finally generated from the 1024 raw PUF bits using BCH error correction and AES-CBC-based entropy extraction. An all-digital design with compact PUF cell layout occupying $1.84~\mu \text{m}^{2}$ achieves: 1) 4-fJ/b energy-efficiency with 3-μW leakage at 0.65 V, 70 °C; 2) peak operating frequency of 1 GHz resulting in 1.2-μs key generation latency; 3) robust operation with stable key generation across 0.55–0.75 V, and 25 °C–110 °C; 4) 14 times separation between intra/inter-PUF hamming distances with 0.99993 entropy ensuring cryptographic quality randomness and uniqueness; 5) 48% higher PUF stability with long-term aging by leveraging transistor degradation to reinforce favorable cell bias; and 6) resiliency to power cycling attacks with common centroid clock routing measured from 49.5% hamming distance between array’s evaluation and wake-up states.

[1]  G. Iannaccone,et al.  CMOS unclonable system for secure authentication based on device variability , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[2]  Shunsuke Okumura,et al.  A 128-bit chip identification generating scheme exploiting SRAM bitcells with failure rate of 4.45 × 10−19 , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

[3]  Ingrid Verbauwhede,et al.  Experimental evaluation of Physically Unclonable Functions in 65 nm CMOS , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

[4]  David Blaauw,et al.  OxID: On-chip one-time random ID generation using oxide breakdown , 2010, 2010 Symposium on VLSI Circuits.

[5]  Jorge Guajardo,et al.  FPGA Intrinsic PUFs and Their Use for IP Protection , 2007, CHES.

[6]  Yongki Lee,et al.  8.7 Physically unclonable function for secure key generation with a key error rate of 2E-38 in 45nm smart-card chips , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[7]  Ying Su,et al.  A 1.6pJ/bit 96% Stable Chip-ID Generating Circuit using Process Variations , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[8]  Himanshu Kaul,et al.  16.2 A 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[9]  Hugo Krawczyk,et al.  Randomness Extraction and Key Derivation Using the CBC, Cascade and HMAC Modes , 2004, CRYPTO.

[10]  Subramanian S. Iyer,et al.  Field Tolerant Dynamic Intrinsic Chip ID Using 32 nm High-K/Metal Gate SOI Embedded DRAM , 2013, IEEE Journal of Solid-State Circuits.

[11]  Subramanian S. Iyer,et al.  Dynamic intrinsic chip ID using 32nm high-K/metal gate SOI embedded DRAM , 2012, 2012 Symposium on VLSI Circuits (VLSIC).

[12]  Mingoo Seok,et al.  A 3.07μm2/bitcell physically unclonable function with 3.5% and 1% bit-instability across 0 to 80°C and 0.6 to 1.2V in a 65nm CMOS , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[13]  Ken Mai,et al.  Reliability enhancement of bi-stable PUFs in 65nm bulk CMOS , 2012, 2012 IEEE International Symposium on Hardware-Oriented Security and Trust.

[14]  Ken Mai,et al.  Attack resistant sense amplifier based PUFs (SA-PUF) with deterministic and controllable reliability of PUF responses , 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[15]  Ken Mai,et al.  An efficient reliable PUF-based cryptographic key generator in 65nm CMOS , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Tony Tae-Hyoung Kim,et al.  Design of SRAM PUF with improved uniformity and reliability utilizing device aging effect , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

[17]  David Blaauw,et al.  14.2 A physically unclonable function with BER <10−8 for robust chip authentication using oscillator collapse in 40nm CMOS , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[18]  J. Lee,et al.  A 14 nm SoC platform technology featuring 2nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[19]  Sanu Mathew,et al.  13fJ/bit probing-resilient 250K PUF array with soft darkbit masking for 1.94% bit-error in 22nm tri-gate CMOS , 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

[20]  Jean-Pierre Seifert,et al.  Invasive PUF Analysis , 2013, 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography.

[21]  Jeroen Delvaux,et al.  Side channel modeling attacks on 65nm arbiter PUFs exploiting CMOS device noise , 2013, 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[22]  K. Arimoto,et al.  A Chip-ID generating circuit for dependable LSI using random address errors on embedded SRAM and on-chip memory BIST , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

[23]  Massimo Alioto,et al.  14.3 15fJ/b static physically unclonable functions for secure chip identification with <2% native bit instability and 140× Inter/Intra PUF hamming distance separation in 65nm , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[24]  Giuseppe Iannaccone,et al.  CMOS Silicon Physical Unclonable Functions Based on Intrinsic Process Variability , 2011, IEEE Journal of Solid-State Circuits.

[25]  Sanu Mathew,et al.  A 4fJ/bit delay-hardened physically unclonable function circuit with selective bit destabilization in 14nm tri-gate CMOS , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

[26]  Roel Maes,et al.  Countering the effects of silicon aging on SRAM PUFs , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[27]  Marten van Dijk,et al.  A technique to build a secret key in integrated circuits for identification and authentication applications , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).