A hybrid Nano/CMOS dynamically reconfigurable system—Part II: Design optimization flow

In Part I of this work, a hybrid nano/CMOS reconfigurable architecture, called NATURE, was described. It is composed of CMOS reconfigurable logic and interconnect fabric, and nonvolatile nano on-chip memory. Through its support for cycle-by-cycle runtime reconfiguration and a highly-efficient computation model, temporal logic folding, NATURE improves logic density and area-delay product by more than an order of magnitude compared to existing CMOS-based field-programmable gate arrays (FPGAs). NATURE can be fabricated using mainstream photo-lithography fabrication techniques. Thus, it offers a currently commercially feasible architecture with high performance, superior logic density, and excellent runtime design flexibility. In Part II of this work, we present an integrated design and optimization flow for NATURE, called NanoMap. Given an input design specified in register-transfer level (RTL) and/or gate-level VHDL, NanoMap optimizes and implements the design on NATURE through logic mapping, temporal clustering, temporal placement, and routing. As opposed to other design tools for traditional FPGAs, NanoMap supports and leverages temporal logic folding by integrating novel mapping techniques. It can automatically explore and identify the best temporal logic folding configuration, targeting area, delay or area-delay product optimization. A force-directed scheduling technique is used to optimize and balance resource usage across different folding cycles. By supporting logic folding, NanoMap can provide significant design flexibility in performing area-delay trade-offs under various user-specified constraints. We present details of the mapping procedure and results for different architectural instances. Experimental results demonstrate that NanoMap can judiciously trade off area and delay targeting different optimization goals, and effectively exploit the advantages of NATURE. Part I of this work will appear in JETC Vol. 5, No. 4.

[1]  Vaughn Betz,et al.  Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density , 1999, FPGA '99.

[2]  Srivaths Ravi,et al.  Satisfiability-based test generation for nonseparable RTL controller-datapath circuits , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Mark Mohammad Tehranipoor,et al.  A new hybrid FPGA with nanoscale clusters and CMOS routing , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[4]  Niraj K. Jha,et al.  Interconnect-aware low-power high-level synthesis , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Tohru Yamamoto,et al.  Two-dimensional molecular electronics circuits. , 2002, Chemphyschem : a European journal of chemical physics and physical chemistry.

[6]  Wei Zhang,et al.  ALLCN: an automatic logic-to-layout tool for carbon nanotube based nanotechnology , 2005, 2005 International Conference on Computer Design.

[7]  Charles M. Lieber,et al.  High Performance Silicon Nanowire Field Effect Transistors , 2003 .

[8]  Seth Copen Goldstein,et al.  NanoFabrics: spatial computing using molecular electronics , 2001, ISCA 2001.

[9]  Jing Guo,et al.  Carbon Nanotube Field-Effect Transistors with Integrated Ohmic Contacts and High-κ Gate Dielectrics , 2004 .

[10]  Jason Cong,et al.  Combinational logic synthesis for LUT based field programmable gate arrays , 1996, TODE.

[11]  Jason Cong,et al.  FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Niraj K. Jha,et al.  Hierarchical test generation and design for testability methods for ASPPs and ASIPs , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  S. Lai,et al.  Current status of the phase change memory and its future , 2003, IEEE International Electron Devices Meeting 2003.

[14]  Wei Zhang,et al.  NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[15]  R. Stanley Williams,et al.  CMOS-like logic in defective, nanoscale crossbars , 2004 .

[16]  Vaughn Betz,et al.  Timing-driven placement for FPGAs , 2000, FPGA '00.

[17]  Jon M. Slaughter,et al.  Magnetoresistive random access memory using magnetic tunnel junctions , 2003, Proc. IEEE.

[18]  Vaughn Betz,et al.  VPR: A new packing, placement and routing tool for FPGA research , 1997, FPL.

[19]  D. Strukov,et al.  CMOL FPGA: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices , 2005 .

[20]  Anish Muttreja,et al.  Variability-Tolerant Register-Transfer Level Synthesis , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[21]  Raphael Rubin,et al.  3D Nanowire-Based Programmable Logic , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[22]  Pierre G. Paulin,et al.  Force-directed scheduling for the behavioral synthesis of ASICs , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..