SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips

Three-dimensional integrated circuits are a promising approach to address the integration challenges faced by current Systems on Chips (SoCs). Designing an efficient Network on Chip (NoC) interconnect for a 3D SoC that not only meets the application performance constraints, but also the constraints imposed by the 3D technology, is a significant challenge. In this work we present a design tool, SunFloor 3D, to synthesize application-specific 3D NoCs. The proposed tool determines the best NoC topology for the application, finds paths for the communication flows, assigns the network components on to the 3D layers and performs a placement of them in each layer. We perform experiments on several SoC benchmarks and present a comparative study between 3D and 2D NoC designs. Our studies show large improvements in interconnect power consumption (average of 38%) and delay (average of 13%) for the 3D NoC when compared to the corresponding 2D implementation. Our studies also show that the synthesized topologies result in large power (average of 54%) and delay savings (average of 21%) when compared to standard topologies.

[1]  Chita R. Das,et al.  MIRA: A Multi-layered On-Chip Interconnect Router Architecture , 2008, 2008 International Symposium on Computer Architecture.

[2]  Partha Pratim Pande,et al.  Performance Evaluation for Three-Dimensional Networks-On-Chip , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[3]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[4]  Sharad Malik,et al.  A hierarchical modeling framework for on-chip communication architectures , 2002, ICCAD 2002.

[5]  Federico Angiolini,et al.  /spl times/pipes Lite: a synthesis oriented design library for networks on chips , 2005, Design, Automation and Test in Europe.

[6]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[7]  Srinivasan Murali,et al.  An Application-Specific Design Methodology for STbus Crossbar Generation , 2005, Design, Automation and Test in Europe.

[8]  Luca Benini,et al.  A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, ICCAD 2008.

[9]  Radu Marculescu,et al.  Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.

[10]  Jörg Henkel,et al.  A design methodology for application-specific networks-on-chip , 2006, TECS.

[11]  Narayanan Vijaykrishnan,et al.  Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[12]  L. Benini,et al.  Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[13]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[14]  Luca Benini,et al.  Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow , 2007, Nano-Net.

[15]  L. Benini,et al.  Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[16]  G. Reimbold,et al.  Dielectric Conduction Mechanisms of Advanced Interconnects: Evidence for Thermally- Induced 3D /2 D Transition , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[17]  Luca Benini,et al.  On-Chip Communication Architectures: System on Chip Interconnect , 2008 .

[18]  Andreas Hansson,et al.  A Unified Approach to Mapping and Routing in a Combined Guaranteed Service and Best-Effort Network-on-Chip Architecture , 2005 .

[19]  Krishnan Srinivasan,et al.  An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[20]  Srinivasan Murali,et al.  SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[21]  Luca Benini,et al.  Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.

[22]  Hannu Tenhunen,et al.  Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs , 2007, ICCAD 2007.

[23]  Eby G. Friedman,et al.  3-D Topologies for Networks-on-Chip , 2007, IEEE Trans. Very Large Scale Integr. Syst..

[24]  Chita R. Das,et al.  A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.

[25]  C.. Guedj,et al.  Evidence for 3-D/2-D Transition in Advanced Interconnects , 2007, IEEE Transactions on Device and Materials Reliability.

[26]  Luca Benini,et al.  Synthesis of networks on chips for 3D systems on chips , 2009, 2009 Asia and South Pacific Design Automation Conference.

[27]  Paul Marchal,et al.  A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[28]  Hai Zhou,et al.  3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, ICCAD 2007.

[29]  Radu Marculescu,et al.  System-level point-to-point communication synthesis using floorplanning information [SoC] , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[30]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[31]  Timothy Mark Pinkston,et al.  A methodology for designing efficient on-chip interconnects on well-behaved communication patterns , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[32]  Mahmut T. Kandemir,et al.  Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[33]  Stephen P. Boyd,et al.  Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.

[34]  Sung Kyu Lim,et al.  Physical design for 3D system on package , 2005, IEEE Design & Test of Computers.

[35]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[36]  Nobuaki Miyakawa A 3D prototyping chip based on a wafer-level stacking technology , 2009, 2009 Asia and South Pacific Design Automation Conference.

[37]  Sachin S. Sapatnekar,et al.  Thermal via placement in 3D ICs , 2005, ISPD '05.

[38]  Charles Addo-Quaye,et al.  Thermal-aware mapping and placement for 3-D NoC designs , 2005, Proceedings 2005 IEEE International SOC Conference.

[39]  Alberto L. Sangiovanni-Vincentelli,et al.  Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.

[40]  William J. Dally,et al.  Performance Analysis of k-Ary n-Cube Interconnection Networks , 1987, IEEE Trans. Computers.

[41]  Hai Zhou,et al.  A Revisit to Floorplan Optimization by Lagrangian Relaxation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[42]  Nikil D. Dutt,et al.  Floorplan-aware automated synthesis of bus-based communication architectures , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[43]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[44]  Tapani Ahonen,et al.  Topology optimization for application-specific networks-on-chip , 2004, SLIP '04.