PSCAR optimization to reduce EUV resist roughness with sensitization using Resist Formulation Optimizer (RFO)

Resist Formulation Optimizer (RFO) is created to optimize resist formulation under EUV stochastic effects. Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 reaction steps are included in the resist reaction model in RFO in addition to standard Chemically Amplified Resists (CAR) reaction steps. A simplified resist roughness calculation method is introduced in RFO. RFO uses “fast stochastic resist model” which uses continuous model information for stochastic calculation. “Resist component’s dissolution inhibition model” is also introduced for better prediction of different resist formulations in RFO. The resist component’s dissolution inhibition model is used for calculation of both Dissolution Inhibition Slope (DIS) and Dissolution Inhibition Deviation (DID). By dividing DID by DIS at a pattern edge, Line Edge Roughness (LER) can be predicted. The RFO performance is validated to give low residual errors after calibration even for different resist formulations. RFO is designed to optimize the resist formulation to minimize resist roughness as a cost function with keeping target CD. RFO suggests that PSCAR 2.0 with Polarity Switching photosensitizer precursor (POLAS) in combination with photosensitizer (PS) image enhancement may provide reduced resist roughness. Simulations using a calibrated rigorous stochastic resist model for S-Litho show a good prediction of PSCAR 2.0 process performance.

[1]  Akihiro Oshima,et al.  Simulation and experimentation of PSCAR chemistry for complex structures , 2017, Advanced Lithography.

[2]  Geert Vandenberghe,et al.  EUV photoresist patterning characterization for imec N7/N5 technology , 2018, Advanced Lithography.

[3]  G. Vandenberghe,et al.  Constructing a robust PSCAR process for EUV , 2018, Advanced Lithography.

[4]  Patrick P. Naulleau,et al.  Relative importance of various stochastic terms and EUV patterning , 2018 .

[5]  Anthony Yen EUV Lithography: From the Very Beginning to the Eve of Manufacturing , 2016, SPIE Advanced Lithography.

[6]  Gijsbert Rispens,et al.  Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure , 2016, SPIE Advanced Lithography.

[7]  Gijsbert Rispens,et al.  Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography , 2016, SPIE Advanced Lithography.

[8]  Geert Vandenberghe,et al.  Calibrated PSCAR stochastic simulation , 2019, Advanced Lithography.

[9]  Patrick P. Naulleau,et al.  Fundamental limits to EUV photoresist , 2007, SPIE Advanced Lithography.

[10]  Chris A. Mack Line-edge roughness and the impact of stochastic processes on lithography scaling for Moore's Law , 2014, Optics & Photonics - Optical Engineering + Applications.

[11]  Yayi Wei,et al.  Evaluation of EUV resist materials for use at the 32 nm half-pitch node , 2008, SPIE Advanced Lithography.

[12]  Geert Vandenberghe,et al.  Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure , 2017, Advanced Lithography.

[13]  Gregg M. Gallatin Resist blur and line edge roughness (Invited Paper) , 2004, SPIE Advanced Lithography.

[14]  Gijsbert Rispens,et al.  Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography , 2016 .

[15]  Andrew R. Neureuther,et al.  Reduction in x‐ray lithography shot noise exposure limit by dissolution phenomena , 1988 .

[16]  Chris A. Mack Line-edge roughness and the ultimate limits of lithography , 2010, Advanced Lithography.

[17]  S. Tagawa,et al.  Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist (PS-CAR) Process , 2013 .

[18]  Harry J. Levinson,et al.  Line edge roughness impact on critical dimension variation , 2007, SPIE Advanced Lithography.

[19]  Anna Lio EUV resists: What's next? , 2016, SPIE Advanced Lithography.

[20]  Geert Vandenberghe,et al.  EUV resist sensitization and roughness improvement by PSCAR with in-line UV flood exposure system , 2018, Advanced Lithography.

[21]  Seiichi Tagawa,et al.  High-resist sensitization by pattern and flood combination lithography , 2014, Advanced Lithography.

[22]  Insung Kim,et al.  Progress in EUV lithography toward manufacturing , 2017, Advanced Lithography.

[23]  Gijsbert Rispens,et al.  Novel high sensitivity EUV photoresist for sub-7nm node , 2016, SPIE Advanced Lithography.

[24]  Peter Trefonas,et al.  Shot noise, LER, and quantum efficiency of EUV photoresists , 2004, SPIE Advanced Lithography.