Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach

The mapping of tasks to processing elements of an MPSoC has critical impact on system performance and energy consumption. To cope with complex dynamic behavior of applications, it is common to perform task mapping during runtime so that the utilization of processors and interconnect can be taken into account when deciding the allocation of each task. This paper has two major contributions, one of them targeting the general problem of evaluating dynamic mapping heuristics in NoC-based MPSoCs, and another focusing on the specific problem of finding a task mapping that optimizes energy consumption in those architectures.

[1]  Seung Eun Lee,et al.  A high level power model for Network-on-Chip (NoC) router , 2009, Comput. Electr. Eng..

[2]  J. Teich,et al.  Run time mapping of adaptive applications onto homogeneous NoC-based reconfigurable architectures , 2009, 2009 International Conference on Field-Programmable Technology.

[3]  Fernando Gehm Moraes,et al.  HeMPS - a framework for NoC-based MPSoC generation , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[4]  Edward A. Lee,et al.  Actor-oriented models for codesign: balancing re-use and performance , 2004 .

[5]  Radu Marculescu,et al.  Run-Time Task Allocation Considering User Behavior in Embedded Multiprocessor Networks-on-Chip , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Leandro Soares Indrusiak,et al.  Characterising embedded applications using a UML profile , 2009, 2009 International Symposium on System-on-Chip.

[8]  Leandro Soares Indrusiak,et al.  Executable system-level specification models containing UML-based behavioral patterns , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[9]  Leandro Soares Indrusiak,et al.  Joint Validation of Application Models and Multi-Abstraction Network-on-Chip Platforms , 2010, Int. J. Embed. Real Time Commun. Syst..

[10]  Radu Marculescu,et al.  Design space exploration and prototyping for on-chip multimedia applications , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[11]  Luca Benini,et al.  Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.

[12]  Sébastien Gérard,et al.  MARTE: a new OMG profile RFP for the Modeling and Analysis of Real-Time Embedded Systems , 2005 .

[13]  Fernando Gehm Moraes,et al.  Energy-aware dynamic task mapping for NoC-based MPSoCs , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[14]  Altamiro Amadeu Susin,et al.  A contextual resources use: a proof of concept through the APACHES' platform , 2006, 2006 IEEE Design and Diagnostics of Electronic Circuits and systems.

[15]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[16]  Leandro Soares Indrusiak,et al.  Evaluating the impact of communication latency on applications running over on-chip multiprocessing platforms: A layered approach , 2010, 2010 8th IEEE International Conference on Industrial Informatics.

[17]  Radu Marculescu,et al.  User-Aware Dynamic Task Allocation in Networks-on-Chip , 2008, 2008 Design, Automation and Test in Europe.

[18]  Ahmed Amine Jerraya,et al.  Heterogeneous vs homogeneous MPSoC approaches for a Mobile LTE modem , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[19]  Ney Laert Vilar Calazans,et al.  Evaluation of static and dynamic task mapping algorithms in NoC-based MPSoCs , 2009, 2009 International Symposium on System-on-Chip.

[20]  Lothar Thiele,et al.  Dynamic Power-Aware Mapping of Applications onto Heterogeneous MPSoC Platforms , 2010, IEEE Transactions on Industrial Informatics.

[21]  Leandro Soares Indrusiak,et al.  Exploring NoC-Based MPSoC Design Space with Power Estimation Models , 2011, IEEE Design & Test of Computers.

[22]  Leandro Soares Indrusiak,et al.  A high abstraction, high accuracy power estimation model for networks-on-chip , 2009, SBCCI.

[23]  Soonhoi Ha Model-based Programming Environment of Embedded Software for MPSoC , 2007, 2007 Asia and South Pacific Design Automation Conference.

[24]  Michel Robert,et al.  An Adaptive Message Passing MPSoC Framework , 2009, Int. J. Reconfigurable Comput..

[25]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  Diederik Verkest,et al.  Power Dissipation of the Network-on-Chip in Multi-Processor System-on-Chip Dedicated for Video Coding Applications , 2009, J. Signal Process. Syst..

[27]  Leandro Soares Indrusiak,et al.  Fast and accurate transaction-level model of a wormhole network-on-chip with priority preemptive virtual channel arbitration , 2011, 2011 Design, Automation & Test in Europe.

[28]  Pao-Ann Hsiung,et al.  Congestion- and energy-aware run-time mapping for tile-based network-on-chip architecture , 2010 .

[29]  Gerard J. M. Smit,et al.  Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC) , 2007, 2008 Design, Automation and Test in Europe.

[30]  Kees Goossens,et al.  A composable, energy-managed, real-time MPSOC platform , 2010, 2010 12th International Conference on Optimization of Electrical and Electronic Equipment.

[31]  Keqin Li,et al.  A Random-Walk-Based Dynamic Tree Evolution Algorithm with Exponential Speed of Convergence to Optimality on Regular Networks , 2009, 2009 Fourth International Conference on Frontier of Computer Science and Technology.

[32]  Amit Kumar Singh,et al.  Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms , 2010, J. Syst. Archit..

[33]  Da He,et al.  Closing the gap between UML-based modeling, simulation and synthesis of combined HW/SW systems , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[34]  Jürgen Teich,et al.  Dynamic decentralized mapping of tree-structured applications on NoC architectures , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[35]  Fernando Gehm Moraes,et al.  Comparison of network-on-chip mapping algorithms targeting low energy consumption , 2008, IET Comput. Digit. Tech..

[36]  Leandro Soares Indrusiak,et al.  Validation of executable application models mapped onto network-on-chip platforms , 2008, 2008 International Symposium on Industrial Embedded Systems.

[37]  Radu Marculescu,et al.  Incremental run-time application mapping for homogeneous NoCs with multiple voltage levels , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[38]  Timo Hämäläinen,et al.  UML-based multiprocessor SoC design framework , 2006, TECS.

[39]  Andy D. Pimentel,et al.  Calibration of Abstract Performance Models for System-Level Design Space Exploration , 2006, 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

[40]  G.J.M. Smit,et al.  Run-time Mapping of Applications to a Heterogeneous SoC , 2005, 2005 International Symposium on System-on-Chip.

[41]  Edward A. Lee,et al.  Actor-Oriented Models for Codesign , 2004 .

[42]  Alexandre M. Amory,et al.  Multi-task dynamic mapping onto NoC-based MPSoCs , 2011, SBCCI '11.

[43]  Jörg Henkel,et al.  ADAM: Run-time agent-based distributed application mapping for on-chip communication , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[44]  Mohammad Hosseinabady,et al.  Run-time resource management in fault-tolerant network on reconfigurable chips , 2009, 2009 International Conference on Field Programmable Logic and Applications.