INVITED: RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs
暂无分享,去创建一个
Krishnendu Chakrabarty | Sung Kyu Lim | Saibal Mukhopadhyay | Daehyun Kim | Bon Woong Ku | Edward Lee | Heechun Park | Jinwoo Kim | Kyungwook Chang | Arjun Chaudhuri | Sanmitra Banerjee
[1] Y. Wu,et al. Variation-aware, reliability-emphasized design and optimization of RRAM using SPICE model , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[2] S. Wong,et al. Monolithic 3D Integrated Circuits , 2007, 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).
[3] Krishnendu Chakrabarty,et al. A Design-for-Test Solution for Monolithic 3D Integrated Circuits , 2017, 2017 IEEE International Conference on Computer Design (ICCD).
[4] R. M. Mattheyses,et al. A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.
[5] Sung Kyu Lim,et al. Design and CAD methodologies for low power gate-level monolithic 3D ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[6] Sung Kyu Lim,et al. Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs , 2018, ISPD.
[7] Sudhakar M. Reddy,et al. Multi-cycle Circuit Parameter Independent ATPG for interconnect open defects , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).
[8] Heng-Yuan Lee,et al. A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability , 2011, 2011 IEEE International Solid-State Circuits Conference.
[9] A. Jutman. Shift register based TPG for at-speed interconnect BIST , 2004, 2004 24th International Conference on Microelectronics (IEEE Cat. No.04TH8716).
[10] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Krishnendu Chakrabarty,et al. A Design-for-Test Solution Based on Dedicated Test Layers and Test Scheduling for Monolithic 3-D Integrated Circuits , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[12] Abhijit Chatterjee,et al. Switching activity generation with automated BIST synthesis forperformance testing of interconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Chung-Cheng Chou,et al. An N40 256K×44 embedded RRAM macro with SL-precharge SA and low-voltage current limiter to improve read and write performance , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[14] Meng-Fan Chang,et al. 19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[15] Sung Kyu Lim,et al. Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).