Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels

Achieving effective run-time mapping on multiprocessor systems-on-chip (MPSoCs) is a challenging task, particularly since the arrival order of the target applications is not known a priori. This paper targets real-time applications which are dynamically mapped onto embedded MPSoCs, where communication happens via the Network-on-Chip (NoC) approach, and resources connected to the NoC have multiple voltage levels. We address precisely the energy- and performance-aware incremental mapping problem for NoCs with multiple voltage levels and propose an efficient technique (consisting of region selection and node allocation) to solve it. Moreover, the proposed technique allows for new applications to be added to the system with minimal in- terprocessor communication overhead. Experimental results show that the proposed technique is very fast, and as much as 50% communication energy savings can be achieved compared to using an arbitrary allocation scheme.

[1]  Radu Marculescu,et al.  On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2008, TODE.

[2]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[3]  Sander Stuijk,et al.  Parallel implementation of arbitrary-shaped MPEG-4 decoder for multiprocessor systems , 2006, Electronic Imaging.

[4]  Fernando Gehm Moraes,et al.  Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs , 2007, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07).

[5]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Cynthia A. Phillips,et al.  Communication-Aware Processor Allocation for Supercomputers , 2005, WADS.

[7]  Srinivasan Murali,et al.  Mapping and configuration methods for multi-use-case networks on chips , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[8]  J. Kao DETC 98 / CIE-5699 OPTIMAL MOTION PLANNING FOR DEPOSITION IN LAYERED MANUFACTURING , 1998 .

[9]  Radu Marculescu,et al.  Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[10]  Kiyoung Choi,et al.  Instruction set synthesis with efficient instruction encoding for configurable processors , 2007, TODE.

[11]  Diederik Verkest,et al.  Operating-system controlled network on chip , 2004, Proceedings. 41st Design Automation Conference, 2004..

[12]  Massoud Pedram,et al.  Codex-dp: co-design of communicating systems using dynamic programming , 1999, DATE '99.

[13]  E. Demaine,et al.  What is the optimal shape of a city , 2004 .

[14]  C. Y. Lee An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..

[15]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[16]  Davide Bertozzi,et al.  Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[17]  Luca Benini,et al.  Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.

[18]  Daniël Paulusma,et al.  Run-time assignment of tasks to multiple heterogeneous processors , 2004 .

[19]  Petru Eles,et al.  An approach to incremental design of distributed embedded systems , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[20]  Mani B. Srivastava,et al.  A survey of techniques for energy efficient on-chip communication , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[21]  Radu Marculescu,et al.  Communication architecture optimization: making the shortest path shorter in regular networks-on-chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[22]  C. K. Wong,et al.  Near-Optimal Solutions to a 2-Dimensional Placement Problem , 1975, SIAM J. Comput..