Algorithms and Architectures of Energy-Efficient Error-Resilient MIMO Detectors for Memory-Dominated Wireless Communication Systems
暂无分享,去创建一个
[1] Dejan Markovic,et al. A Flexible DSP Architecture for MIMO Sphere Decoding , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.
[2] Rouwaida Kanj,et al. Cross Layer Error Exploitation for Aggressive Voltage Scaling , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[3] Naresh R. Shanbhag,et al. Error-Resilient Low-Power Viterbi Decoder Architectures , 2009, IEEE Transactions on Signal Processing.
[4] Kiarash Amiri,et al. A combined channel and hardware noise resilient Viterbi decoder , 2010, 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers.
[5] Babak Hassibi,et al. On the sphere-decoding algorithm I. Expected complexity , 2005, IEEE Transactions on Signal Processing.
[6] Alessandro Trifiletti,et al. Leakage Power Analysis Attacks: A Novel Class of Attacks to Nanometer Cryptographic Circuits , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[7] Kiarash Amiri,et al. A Unified Hardware and Channel Noise Model for Communication Systems , 2010, 2010 IEEE Global Telecommunications Conference GLOBECOM 2010.
[8] Ahmed M. Eltawil,et al. Error resilient MIMO detector for memory-dominated wireless communication systems , 2012, 2012 IEEE Global Communications Conference (GLOBECOM).
[9] Norbert Wehn,et al. A Case Study in Reliability-Aware Design: A Resilient LDPC Code Decoder , 2008, 2008 Design, Automation and Test in Europe.
[10] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Mojtaba Mahdavi,et al. Novel MIMO Detection Algorithm for High-Order Constellations in the Complex Domain , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Naresh R. Shanbhag,et al. Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[13] Jason Trachewsky,et al. LDPC code set for mmWave communication , 2010, mmCom '10.
[14] Tong Zhang,et al. A 1.1-Gb/s 115-pJ/bit Configurable MIMO Detector Using 0.13- $\mu\hbox{m}$ CMOS Technology , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.
[15] Norbert Wehn,et al. Cross-Layer Error Resilience and Its Application to Wireless Communication Systems , 2013, J. Low Power Electron..
[16] Stephan ten Brink,et al. Achieving near-capacity on a multiple-antenna channel , 2003, IEEE Trans. Commun..
[17] Gerald Matz,et al. The effect of unreliable LLR storage on the performance of MIMO-BICM , 2010, 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers.
[18] Norbert Wehn,et al. Reliability study on system memories of an iterative MIMO-BICM system , 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).
[19] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[20] David Gesbert,et al. From theory to practice: an overview of MIMO space-time coded wireless systems , 2003, IEEE J. Sel. Areas Commun..
[21] Christoph Roth,et al. Data mapping for unreliable memories , 2012, 2012 50th Annual Allerton Conference on Communication, Control, and Computing (Allerton).
[22] Christian Bernard,et al. A 477mW NoC-based digital baseband for MIMO 4G SDR , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[23] Ahmed M. Eltawil,et al. A Radius Adaptive K-Best Decoder With Early Termination: Algorithm and VLSI Architecture , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[24] Norbert Wehn,et al. A Case Study on Error Resilient Architectures for Wireless Communication , 2012, ARCS.
[25] P. Glenn Gulak,et al. A 675 Mbps, 4 × 4 64-QAM K-Best MIMO Detector in 0.13 µm CMOS , 2012, IEEE Trans. Very Large Scale Integr. Syst..
[26] A. Burg,et al. VLSI implementation of MIMO detection using the sphere decoding algorithm , 2005, IEEE Journal of Solid-State Circuits.
[27] Jürgen Götze,et al. Turbo Equalization for Receivers with Unreliable Buffer Memory , 2011, 2011 IEEE Vehicular Technology Conference (VTC Fall).
[28] Naresh R. Shanbhag,et al. Energy-Efficient LDPC Decoders Based on Error-Resiliency , 2012, 2012 IEEE Workshop on Signal Processing Systems.
[29] Jürgen Götze,et al. On fault tolerant decoding of Turbo codes , 2012, 2012 7th International Symposium on Turbo Codes and Iterative Information Processing (ISTC).
[30] Christoph Roth,et al. On the exploitation of the inherent error resilience of wireless systems under unreliable silicon , 2012, DAC Design Automation Conference 2012.
[31] Ahmed M. Eltawil,et al. Improving effective yield through error tolerant system design , 2005, 2005 12th IEEE International Conference on Electronics, Circuits and Systems.
[32] Markus Rupp,et al. Simulating the Long Term Evolution physical layer , 2009, 2009 17th European Signal Processing Conference.
[33] Jürgen Götze,et al. EXIT-Optimized Index Assignments for Turbo Decoders with Unreliable LLR Transfer , 2013, IEEE Communications Letters.
[34] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[35] Ahmed M. Eltawil,et al. A Class of Low Power Error Compensation Iterative Decoders , 2011, 2011 IEEE Global Telecommunications Conference - GLOBECOM 2011.
[36] Nicolas Planes,et al. 65nm LP/GP mix low cost platform for multi-media wireless and consumer applications , 2005 .
[37] Joseph R. Cavallaro,et al. Performance—Complexity Comparison of Receivers for a LTE MIMO–OFDM System , 2010, IEEE Transactions on Signal Processing.