An Efficient Application Mapping Approach for the Co-Optimization of Reliability, Energy, and Performance in Reconfigurable NoC Architectures

In this paper, an efficient application mapping approach is proposed for the co-optimization of reliability, communication energy, and performance (CoREP) in network-on-chip (NoC)-based reconfigurable architectures. A cost model for the CoREP is developed to evaluate the overall cost of a mapping. In this model, communication energy and latency (as a measure of performance) are first considered in energy latency product (ELP), and then ELP is co-optimized with reliability by a weight parameter that defines the optimization priority. Both transient and intermittent errors in NoC are modeled in CoREP. Based on CoREP, a mapping approach, referred to as priority and ratio oriented branch and bound (PRBB), is proposed to derive the best mapping by enumerating all the candidate mappings organized in a search tree. Two techniques, branch node priority recognition and partial cost ratio utilization, are adopted to improve the search efficiency. Experimental results show that the proposed approach achieves significant improvements in reliability, energy, and performance. Compared with the state-of-the-art methods in the same scope, the proposed approach has the following distinctive advantages: 1) CoREP is highly flexible to address various NoC topologies and routing algorithms while others are limited to some specific topologies and/or routing algorithms; 2) general quantitative evaluation for reliability, energy, and performance are made, respectively, before being integrated into unified cost model in general context while other similar models only touch upon two of them; and 3) CoREP-based PRBB attains a competitive processing speed, which is faster than other mapping approaches.

[1]  Hannu Tenhunen,et al.  Energy-Aware Fault-Tolerant Network-on-Chips for Addressing Multiple Traffic Classes , 2012, 2012 15th Euromicro Conference on Digital System Design.

[2]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Hamid R. Zarandi,et al.  A Reliability-Aware Multi-application Mapping Technique in Networks-on-Chip , 2013, 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

[4]  Gary J. Sullivan,et al.  Overview of the High Efficiency Video Coding (HEVC) Standard , 2012, IEEE Transactions on Circuits and Systems for Video Technology.

[5]  Arnab Banerjee,et al.  An Energy and Performance Exploration of Network-on-Chip Architectures , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Radu Marculescu,et al.  Exploiting Emergence in On-Chip Interconnects , 2014, IEEE Transactions on Computers.

[7]  Chita R. Das,et al.  Design and analysis of an NoC architecture from performance, reliability and energy perspective , 2005, 2005 Symposium on Architectures for Networking and Communications Systems (ANCS).

[8]  Leibo Liu,et al.  Efficient Fault-Tolerant Topology Reconfiguration Using a Maximum Flow Algorithm , 2015, TRETS.

[9]  R. Trautner ESA's Roadmap for Next Generation Payload Data Procesors , 2011 .

[10]  Santanu Chattopadhyay,et al.  A survey on application mapping strategies for Network-on-Chip design , 2013, J. Syst. Archit..

[11]  Sabih H. Gerez,et al.  Algorithms for VLSI design automation , 1998 .

[12]  Hao Wu,et al.  Run-Time Reconfiguration to Tolerate Core Failures for Real-Time Embedded Applications on NoC Manycore Platforms , 2013, 2013 IEEE 10th International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing.

[13]  Siamak Mohammadi,et al.  A fault-aware, reconfigurable and adaptive routing algorithm for NoC applications , 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

[14]  Gary J. Sullivan,et al.  Rate-constrained coder control and comparison of video coding standards , 2003, IEEE Trans. Circuits Syst. Video Technol..

[15]  Bharadwaj Veeravalli,et al.  Energy-Aware Communication and Remapping of Tasks for Reliable Multimedia Multiprocessor Systems , 2012, 2012 IEEE 18th International Conference on Parallel and Distributed Systems.

[16]  David Wentzlaff,et al.  Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.

[17]  Gunter Bolch,et al.  Queueing Networks and Markov Chains - Modeling and Performance Evaluation with Computer Science Applications, Second Edition , 1998 .

[18]  GlesnerManfred,et al.  Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach , 2013 .

[19]  Jean-Didier Legat,et al.  A hybrid NoC combining SDM-TDM based circuit-switching with packet-switching for real-time applications , 2012, 10th IEEE International NEWCAS Conference.

[20]  George Kornaros,et al.  Dynamic Power and Thermal Management of NoC-Based Heterogeneous MPSoCs , 2014, TRETS.

[21]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[22]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[23]  Myron Hlynka,et al.  Queueing Networks and Markov Chains (Modeling and Performance Evaluation With Computer Science Applications) , 2007, Technometrics.

[24]  Ajay Luthra,et al.  Overview of the H.264/AVC video coding standard , 2003, IEEE Trans. Circuits Syst. Video Technol..

[25]  Leandro Soares Indrusiak,et al.  Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach , 2013, TECS.

[26]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Min Ni,et al.  Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[28]  Martin Radetzki,et al.  Fault Tolerant Network on Chip Switching With Graceful Performance Degradation , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Peter Rossmanith,et al.  Simulated Annealing , 2008, Taschenbuch der Algorithmen.

[30]  Neil W. Bergmann,et al.  QUKU: A dual-layer reconfigurable architecture , 2013, ACM Trans. Embed. Comput. Syst..

[31]  Luca Benini,et al.  Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.

[32]  Om Prakash Yadav,et al.  Energy and reliability oriented mapping for regular Networks-on-Chip , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[33]  Kathryn A. Dowsland,et al.  Simulated Annealing , 1989, Encyclopedia of GIS.

[34]  Radu Marculescu,et al.  FARM: Fault-aware resource management in NoC-based multiprocessor platforms , 2011, 2011 Design, Automation & Test in Europe.

[35]  Massoud Pedram,et al.  Application mapping for express channel-based networks-on-chip , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[36]  Diederik Verkest,et al.  Concepts and Implementation of Spatial Division Multiplexing for Guaranteed Throughput in Networks-on-Chip , 2008, IEEE Transactions on Computers.

[37]  Edna Barros,et al.  An approach for multi-task and multi-application mapping onto NoC-based MPSoC , 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

[38]  Henk Corporaal,et al.  Minimizing Power Consumption of Spatial Division Based Networks-on-Chip Using Multi-path and Frequency Reduction , 2012, 2012 15th Euromicro Conference on Digital System Design.

[39]  Jean-Didier Legat,et al.  A SDM-TDM based circuit-switched router for on-chip networks , 2011, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC).

[40]  Naresh R. Shanbhag,et al.  Energy-efficient soft error-tolerant digital signal processing , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.