Memory Access Aware Mapping for Networks-on-Chip
暂无分享,去创建一个
Wang Yi | Xi Jin | Qingxu Deng | Nan Guan | W. Yi | Nan Guan | Qingxu Deng | Xi Jin
[1] Shashi Kumar,et al. A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..
[2] Onur Mutlu,et al. Parallelism-Aware Batch Scheduling: Enabling High-Performance and Fair Shared Memory Controllers , 2009, IEEE Micro.
[3] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[4] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[5] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[6] Chein-Wei Jen,et al. An efficient quality-aware memory controller for multimedia platform SoC , 2005, IEEE Transactions on Circuits and Systems for Video Technology.
[7] Lionel M. Ni,et al. Fault-tolerant routing in hypercube multicomputers using local safety information , 1996 .
[8] Bruce Jacob,et al. Memory Systems: Cache, DRAM, Disk , 2007 .
[9] Altamiro Amadeu Susin,et al. RASoC: a router soft-core for networks-on-chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[10] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[11] L. Benini,et al. Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.
[12] Radu Marculescu,et al. Contention-aware application mapping for Network-on-Chip communication architectures , 2008, 2008 IEEE International Conference on Computer Design.
[13] Zhigang Mao,et al. Link-load balance aware mapping and routing for NoC , 2007 .
[14] David Z. Pan,et al. A3MAP: Architecture-Aware Analytic Mapping for Networks-on-Chip , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[15] William J. Dally,et al. Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[16] Srinivasan Murali,et al. Mapping and configuration methods for multi-use-case networks on chips , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[17] Lionel M. Ni,et al. Fault-tolerant wormhole routing in meshes without virtual channels , 1996, IEEE Transactions on Parallel and Distributed Systems.
[18] An-Yeu Wu,et al. A New Binomial Mapping and Optimization Algorithm for Reduced-Complexity Mesh-Based On-Chip Network , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[19] Rolf Ernst,et al. Traffic shaping for an FPGA based SDRAM controller with complex QoS requirements , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[20] Lalit M. Patnaik,et al. Genetic algorithms: a survey , 1994, Computer.
[21] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[22] David Z. Pan,et al. An SDRAM-aware router for Networks-on-Chip , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[23] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[24] Vincenzo Catania,et al. Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[25] John W. Lockwood,et al. Beyond performance: secure and fair memory management for multiple systems on a chip , 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).
[26] Radu Marculescu,et al. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.
[27] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).