Adaptive circuits for the 0.5-V nanoscale CMOS era

The Vmins of logic, SRAM, and DRAM blocks were compared with a newly proposed methodology for evaluating Vmin based on speed variations, taking repair techniques into account. State-of-the-art 6T SRAM cells were then discussed in terms of Vmin and cell size. After that, many adaptive circuits and relevant technologies needed to break the 1V wall were proposed and evaluated, while taking the interconnect problem into account. Finally, 0.5V nanoscale LSIs including mixed signal LSIs were predicted to be feasible, if relevant devices and fabrication processes are developed.

[1]  R. Tsuchiya,et al.  Comprehensive study on vth variability in silicon on Thin BOX (SOTB) CMOS with small random-dopant fluctuation: Finding a way to further reduce variation , 2008, 2008 IEEE International Electron Devices Meeting.

[2]  Satoru Akiyama,et al.  CMOS low-VT preamplifier for 0.5-V gigabit-DRAM arrays , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[3]  R. Tsuchiya,et al.  Low voltage (Vdd∼0.6 V) SRAM operation achieved by reduced threshold voltage variability in SOTB (silicon on thin BOX) , 2006, 2009 Symposium on VLSI Technology.

[4]  David M. Bull,et al.  RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[5]  Leland Chang,et al.  A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.

[6]  Marcel J. M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[7]  Masashi Horiguchi,et al.  Ultra-Low Voltage Nano-Scale Memories , 2007, Series on Integrated Circuits and Systems.

[8]  Hideo Sunami,et al.  The Role of the Trench Capacitor in DRAM Innovation , 2008, IEEE Solid-State Circuits Newsletter.

[9]  G. Dewey,et al.  Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[10]  T. Miki,et al.  A 6-bit 3.5-GS/s 0.9-V 98-mW Flash ADC in 90-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[11]  Shoji Kawahito,et al.  A 15b power-efficient pipeline A/D converter using non-slewing closed-loop amplifiers , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[12]  David Blaauw,et al.  Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[13]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[14]  Osamu Takahashi,et al.  Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[15]  T. Iwamatsu,et al.  Smallest Vth variability achieved by intrinsic silicon on thin BOX (SOTB) CMOS with single metal gate , 2008, 2008 Symposium on VLSI Technology.

[16]  Uddalak Bhattacharya,et al.  A 153Mb-SRAM Design with Dynamic Stability Enhancement and Leakage Reduction in 45nm High-Κ Metal-Gate CMOS Technology , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[17]  Kiyoo Itoh,et al.  Low-voltage limitations of deep-sub-100-nm CMOS LSIs: view of memory designers , 2007, GLSVLSI '07.

[18]  M. Yamaoka,et al.  A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[19]  Takashi Oshima,et al.  23-mW 50-MS/s 10-bit pipeline A/D converter with nonlinear LMS foreground calibration , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[20]  S. Shimada,et al.  A 300-MHz 25-/spl mu/A/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor , 2004, IEEE Journal of Solid-State Circuits.

[21]  Kiyoo Itoh,et al.  Vlsi Memory Chip Design , 2006 .

[22]  Rajiv V. Joshi,et al.  A 2-ns cycle, 3.8-ns access 512-kb CMOS ECL SRAM with a fully pipelined architecture , 1991 .

[23]  R. Tsuchiya,et al.  FD-SOI MOSFETs for the low-voltage nanoscale CMOS era , 2009, 2009 IEEE International SOI Conference.

[24]  K.J. Kuhn,et al.  Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.

[25]  P. Kinget,et al.  0.5-V analog circuit techniques and their application in OTA and filter design , 2005, IEEE Journal of Solid-State Circuits.

[26]  B. Fischer,et al.  A highly manufacturable deep trench based DRAM cell layout with a planar array device in a 70nm technology , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[27]  G. Daniel,et al.  A 390 mm/sup 2/ 16-bank 1 Gb DDR SDRAM with hybrid bitline architecture , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[28]  Asad A. Abidi,et al.  A 6 b 1.3 GSample/s A/D converter in 0.35 μm CMOS , 2001 .

[29]  B. Nauta,et al.  Analog/RF circuit design techniques for nanometerscale IC technologies , 2005, Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005..

[30]  K. Itoh,et al.  Low-voltage scaling limitations for nano-scale CMOS LSIs , 2008, 2008 9th International Conference on Ultimate Integration of Silicon.

[31]  T. Fukai,et al.  Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies , 2007, 2007 IEEE International Electron Devices Meeting.

[32]  Trevor Mudge,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, VLSIC 2005.

[33]  T. Izawa,et al.  SP 22.4: A 1V 0.9mW at 100MHz 2kx16b SRAM utilizing a Half-Swing Pulsed-Decoder and Write-Bus Architecture in 0.25pm Dual-Vt CMOS , 1998 .

[34]  N. Vallepalli,et al.  A 3-GHz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[35]  D. Hisamoto,et al.  A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET , 1989, International Technical Digest on Electron Devices Meeting.

[36]  Arvind Kumar,et al.  Silicon CMOS devices beyond scaling , 2006, IBM J. Res. Dev..

[37]  A. Matsuzawa,et al.  A low power data holding circuit with an intermittent power supply scheme for sub-1V MT-CMOS LSIs , 1996, 1996 Symposium on VLSI Circuits. Digest of Technical Papers.

[38]  D. Hisamoto,et al.  A fully depleted lean-channel transistor (DELTA)-a novel vertical ultrathin SOI MOSFET , 1990, IEEE Electron Device Letters.

[39]  O. Rozeau,et al.  High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding , 2008, 2008 IEEE International Electron Devices Meeting.

[40]  Toru Nakura,et al.  Fine-Grain Redundant Logic Using Defect-Prediction Flip-Flops , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[41]  H. Akamatsu A Low Power Data Storage Circuit with an Intermittent Power Supply Scheme for Sub-1 V MT-CMOS LSIs , 1997 .

[42]  N. Maeda,et al.  A 300MHz 25/spl mu/A/Mb leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[43]  Denis C. Daly,et al.  A 6b 0.2-to-0.9V Highly Digital Flash ADC with Comparator Redundancy , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[44]  Kawahito Shoji,et al.  Optimum Design Considerations for a CMOS Amplifier and Effectiency of class-AB Structure , 2008 .

[45]  T. Mohsenin,et al.  A 167-processor 65 nm computational platform with per-processor dynamic supply voltage and dynamic clock frequency scaling , 2008, 2008 IEEE Symposium on VLSI Circuits.

[46]  Kiyoo Itoh,et al.  Sub-1-V swing bus architecture for future low-power ULSIs , 1992, 1992 Symposium on VLSI Circuits Digest of Technical Papers.

[47]  Dimitri Linten,et al.  The Potential of FinFETs for Analog and RF Circuit Applications , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

[48]  S. Maegawa,et al.  Silicon on thin BOX: a new paradigm of the CMOSFET for low-power high-performance application featuring wide-range back-bias control , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[49]  Kaushik Roy,et al.  Statistical Characterization and On-Chip Measurement Methods for Local Random Variability of a Process Using Sense-Amplifier-Based Test Structure , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[50]  Hiroo Masuda,et al.  Approach for physical design in sub-100 nm era , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[51]  O. Takahashi,et al.  Implementation of the Cell Broadband Engine™ in 65 nm SOI Technology Featuring Dual Power Supply SRAM Arrays Supporting 6 GHz at 1.3 V , 2008, IEEE Journal of Solid-State Circuits.

[52]  Kaustav Banerjee,et al.  Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.

[53]  S. Shimada,et al.  Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[54]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[55]  K. Itoh,et al.  A deep sub-V, single power-supply SRAM cell with multi-V/sub T/, boosted storage node and dynamic load , 1996, 1996 Symposium on VLSI Circuits. Digest of Technical Papers.

[56]  M. Khellah,et al.  A 4.2GHz 0.3mm2 256kb Dual-V/sub cc/ SRAM Building Block in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[57]  Yue Tan,et al.  A 450ps Access-Time SRAM Macro in 45nm SOI Featuring a Two-Stage Sensing-Scheme and Dynamic Power Management , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[58]  Kiyoo Itoh,et al.  Adaptive Circuits for the 0.5-V Nanoscale CMOS Era , 2010 .

[59]  Kiyoo Itoh,et al.  Reviews and future prospects of low-voltage embedded RAMs , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

[60]  M. Yamaoka,et al.  Low-voltage limitations of memory-rich nano-scale CMOS LSIs , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.

[61]  Kiyoo Itoh,et al.  Low-Vt small-offset gated preamplifier for sub-1V gigabit DRAM arrays , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[62]  N. Vallepalli,et al.  A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.

[63]  Tze-Chiang Chen Where CMOS is going: trendy hype vs. real technology , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[64]  Yong-Gee Ng,et al.  A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology , 2009, IEEE Journal of Solid-State Circuits.

[65]  Masashi Horiguchi,et al.  Review and future prospects of low-voltage RAM circuits , 2003, IBM J. Res. Dev..

[66]  S. Naffziger,et al.  Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[67]  S. Hall,et al.  A 940 MHz data rate 8 Mb CMOS SRAM , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).