Area, delay, power, and cost trends for metal-programmable structured ASICs (MPSAs)

As integrated circuits are scaled to finer process geometries, the risk involved with the increased design effort and high NRE costs becomes too great for some applications. FPGAs offer one solution, but for high performance, high volume, or low power applications, FPGAs may not be suitable. For some of these applications, structured ASICs may provide a better solution. Structured ASICs share many of the same characteristics as FPGAs, but consume less power, are more dense, and can run faster. Despite these advantages, structured ASICs have not yet achieved the level of popularity some had predicted. There are several possible reasons, including unfamiliar technology, immature CAD, and claimed advantages which have not yet been concretely demonstrated. In much the same way that it has helped improve FPGA adoption, we believe that an increased public research effort can begin to address many of these issues. This paper takes a step in this direction by investigating metal-programmable structured ASICs, or MPSAs. We determine the area, delay, and power trends and quantify the cost advantages of MPSAs relative to cell based ICs (CBICs) for a wide range of possible MPSA logic architectures and layout assumptions. In particular, we quantify the impact of the number of user-defined metal mask layers on these metrics. Results suggest the number of these programmable layers should be as small as possible for most MPSAs, unless very large die sizes are required.

[1]  Behrooz Zahiri Structured ASICs: opportunities and challenges , 2003, Proceedings 21st International Conference on Computer Design.

[2]  Malgorzata Marek-Sadowska,et al.  Via-configurable routing architectures and fast design mappability estimation for regular fabrics , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[3]  Jarrod A. Roy,et al.  Capo: robust and scalable open-source min-cut floorplacer , 2005, ISPD '05.

[4]  J.A. Roy,et al.  High-performance routing at the nanometer scale , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[5]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[6]  C.H. Stapper,et al.  Integrated circuit yield statistics , 1983, Proceedings of the IEEE.

[7]  Lawrence T. Pileggi,et al.  Exploring logic block granularity for regular fabrics , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[8]  Vaughn Betz,et al.  Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.

[9]  Andrzej J. Strojwas,et al.  Exploring regular fabrics to optimize the performance-cost trade-off , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[10]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Hai Zhou,et al.  Track assignment: a desirable intermediate step between global routing and detailed routing , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..