A Journey of Embedded and Cyber-Physical Systems - Essays Dedicated to Peter Marwedel on the Occasion of His 70th Birthday

[1]  Nikil D. Dutt,et al.  Design methodologies for enabling self-awareness in autonomous systems , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Nikil D. Dutt,et al.  Conquering MPSoC complexity with principles of a self-aware information processing factory , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[3]  Lothar Thiele,et al.  Approximating Pareto optimal compiler optimization sequences—a trade‐off between WCET, ACET and code size , 2011, Softw. Pract. Exp..

[4]  Henrik Theiling,et al.  Control flow graphs for real-time systems analysis: reconstruction from binary executables and usage in ILP-based path analysis , 2002 .

[5]  Jian-Jia Chen,et al.  Probabilistic schedulability tests for uniprocessor fixed-priority scheduling under soft errors , 2017, 2017 12th IEEE International Symposium on Industrial Embedded Systems (SIES).

[6]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[7]  Amit Kumar Singh,et al.  Energy Minimization for Multicore Platforms Through DVFS and VR Phase Scaling With Comprehensive Convex Model , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Jian-Jia Chen,et al.  Analysis of Deadline Miss Rates for Uniprocessor Fixed-Priority Scheduling , 2018, 2018 IEEE 24th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA).

[9]  Sebastian Hack,et al.  WCET analysis for multi-core processors with shared buses and event-driven bus arbitration , 2015, RTNS.

[10]  Xu Han,et al.  Multi-Core Simulation of Transaction Level Models using the System-on-Chip Environment , 2010 .

[11]  Sharad Malik,et al.  Performance Analysis of Embedded Software Using Implicit Path Enumeration , 1995, 32nd Design Automation Conference.

[12]  Behnaz Pourmohseni,et al.  Isolation-Aware Timing Analysis and Design Space Exploration for Predictable and Composable Many-Core Systems , 2019, ECRTS.

[13]  Chi-Keung Luk,et al.  Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

[14]  Sung Kyu Lim,et al.  Full chip power benefits with negative capacitance FETs , 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[15]  Jan Reineke,et al.  Memory Hierarchies, Pipelines, and Buses for Future Architectures in Time-Critical Embedded Systems , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Sanjoy K. Baruah,et al.  A Response-Time Bound in Fixed-Priority Scheduling with Arbitrary Deadlines , 2009, IEEE Transactions on Computers.

[17]  Soonhoi Ha,et al.  Simulation environment configuration for parallel simulation of multicore embedded systems , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  Amit Kumar Singh,et al.  Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs , 2013, TODE.

[19]  Arno Luppold,et al.  Code Optimization of Periodic Preemptive Hard Real-Time Multitasking Systems , 2015, 2015 IEEE 18th International Symposium on Real-Time Distributed Computing.

[20]  Luca Benini,et al.  Optimized active and power-down mode refresh control in 3D-DRAMs , 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

[21]  Hiren D. Patel,et al.  Parallel simulation of mixed-abstraction SystemC models on GPUs and multicore CPUs , 2012, 17th Asia and South Pacific Design Automation Conference.

[22]  Erik Scheduling of Hard Real-Time Periodic Systems with Various Kinds of Deadline and Offset Constraints , .

[23]  Jörg Henkel,et al.  Unveiling the Impact of IR-Drop on Performance Gain in NCFET-Based Processors , 2019, IEEE Transactions on Electron Devices.

[24]  M. Balakrishnan,et al.  User Triggered Bus Identification and Homing System: Making Public Transport Accessible for the Visually Challenged , 2010 .

[25]  Sheetal Malagi,et al.  Smart Cane for the Visually Impaired , 2017 .

[26]  David Wentzlaff,et al.  OpenPiton: An Open Source Manycore Research Framework , 2016, ASPLOS.

[27]  Frank Slomka,et al.  An event stream driven approximation for the analysis of real-time systems , 2004, Proceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004..

[28]  Tim Schmidt,et al.  Exploiting thread and data level parallelism for ultimate parallel SystemC simulation , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[29]  Jürgen Teich,et al.  Symmetry-Eliminating Design Space Exploration for Hybrid Application Mapping on Many-Core Architectures , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Alan Burns,et al.  Response Time Upper Bounds for Fixed Priority Real-Time Systems , 2008, 2008 Real-Time Systems Symposium.

[31]  Jan Vitek,et al.  The real software crisis , 2015, Commun. ACM.

[32]  Stephan Thesing,et al.  Safe and precise WCET determination by abstract interpretation of pipeline models , 2004 .

[33]  Jian-Jia Chen,et al.  k2Q: A Quadratic-Form Response Time and Schedulability Analysis Framework for Utilization-Based Analysis , 2016, 2016 IEEE Real-Time Systems Symposium (RTSS).

[34]  N. Wehn,et al.  Reverse Engineering of DRAMs: Row Hammer with Crosshair , 2016, MEMSYS.

[35]  Nikil D. Dutt,et al.  MEMCOP: memory-aware co-operative power management governor for mobile games , 2018, Des. Autom. Embed. Syst..

[36]  Norbert Wehn,et al.  Driving into the memory wall: the role of memory for advanced driver assistance systems and autonomous driving , 2018, MEMSYS.

[37]  S. Datta,et al.  Use of negative capacitance to provide voltage amplification for low power nanoscale devices. , 2008, Nano letters.

[38]  Ankit Agrawal,et al.  DRAM-related challenges in task scheduling with timing predictability on COTS multi-cores for safety-critical systems , 2017, MEMSYS.

[39]  Kees G. W. Goossens,et al.  Composability and Predictability for Independent Application Development, Verification, and Execution , 2011, Multiprocessor System-on-Chip.

[40]  Henrik Theiling,et al.  WCET-driven, code-size critical procedure cloning , 2008, SCOPES '08.

[41]  Jie Han,et al.  Approximate computing: An emerging paradigm for energy-efficient design , 2013, 2013 18th IEEE European Test Symposium (ETS).

[42]  Lothar Thiele,et al.  Real-time calculus for scheduling hard real-time systems , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

[43]  Kees G. W. Goossens,et al.  CoMPSoC: A template for composable and predictable multi-processor system on chips , 2009, TODE.

[44]  John P. Lehoczky,et al.  Fixed priority scheduling of periodic task sets with arbitrary deadlines , 1990, [1990] Proceedings 11th Real-Time Systems Symposium.

[45]  Rainer Dömer Seven Obstacles in the Way of Standard-Compliant Parallel SystemC Simulation , 2016, IEEE Embedded Systems Letters.

[46]  Jürgen Teich,et al.  Run-Time Enforcement of Non-functional Program Properties on MPSoCs , 2020, A Journey of Embedded and Cyber-Physical Systems.

[47]  Mahmut T. Kandemir,et al.  Managing GPU Concurrency in Heterogeneous Architectures , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

[48]  Paul Lokuciejewski,et al.  A compiler framework for the reduction of worst-case execution times , 2010, Real-Time Systems.

[50]  Norbert Wehn,et al.  DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework , 2015, IPSJ Trans. Syst. LSI Des. Methodol..

[51]  Michael Glaß,et al.  Language and Compilation of Parallel Programs for *-Predictable MPSoC Execution Using Invasive Computing , 2016, 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC).

[52]  Norbert Wehn,et al.  A Platform to Analyze DDR3 DRAM’s Power and Retention Time , 2017, IEEE Design & Test.

[53]  Jörg Henkel,et al.  Performance, Power and Cooling Trade-Offs with NCFET-based Many-Cores , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

[54]  Arno Luppold,et al.  Compiler-Based Code Compression for Hard Real-Time Systems , 2019, SCOPES.

[55]  Nikil D. Dutt,et al.  HiCAP: Hierarchical FSM-based Dynamic Integrated CPU-GPU Frequency Capping Governor for Energy-Efficient Mobile Gaming , 2016, ISLPED.

[56]  Gernot Gebhard Static timing analysis tool validation in the presence of timing anomalies , 2013 .

[57]  Lothar Thiele,et al.  Multi-objective Exploration of Compiler Optimizations for Real-Time Systems , 2010, 2010 13th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing.

[58]  Bruce Jacob,et al.  DRAM Refresh Mechanisms, Penalties, and Trade-Offs , 2016, IEEE Transactions on Computers.

[59]  Nikil D. Dutt,et al.  Co-Cap: energy-efficient cooperative CPU-GPU frequency capping for mobile games , 2016, SAC.

[60]  Ting Chen,et al.  WCET centric data allocation to scratchpad memory , 2005, 26th IEEE International Real-Time Systems Symposium (RTSS'05).

[61]  Henrik Theiling,et al.  Reliable and Precise WCET Determination for a Real-Life Processor , 2001, EMSOFT.

[62]  Rainer Leupers,et al.  SystemC-link: Parallel SystemC simulation using time-decoupled segments , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[63]  Insup Lee,et al.  Cyber-physical systems: The next computing revolution , 2010, Design Automation Conference.

[64]  Jürgen Teich,et al.  Invasive Computing for Mapping Parallel Programs to Many-Core Architectures , 2018, Computer Architecture and Design Methodologies.

[65]  Jonghyuk Kim,et al.  23.2 A 5Gb/s/pin 8Gb LPDDR4X SDRAM with power-isolated LVSTL and split-die architecture with 2-die ZQ calibration scheme , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[66]  Amit Kumar Singh,et al.  Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs , 2013, 2013 Euromicro Conference on Digital System Design.

[67]  Arno Luppold,et al.  Schedulability Aware WCET-Optimization of Periodic Preemptive Hard Real-Time Multitasking Systems , 2015, SCOPES.

[68]  Norbert Wehn,et al.  Invited: Approximate computing with partially unreliable dynamic random access memory — Approximate DRAM , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[69]  Jian-Jia Chen,et al.  Bursty-Interference Analysis Techniques for Analyzing Complex Real-Time Task Models , 2014, 2014 IEEE Real-Time Systems Symposium.

[70]  Alan Burns,et al.  Analysis of buffering effects on hard real-time priority-preemptive wormhole networks , 2016, ArXiv.

[71]  R. M. Fujimoto,et al.  Parallel discrete event simulation , 1989, WSC '89.

[72]  James W. Layland,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[73]  Rohan Paul,et al.  Cane Mounted Knee-Above Obstacle Detection and Warning System for the Visually Impaired , 2007 .

[74]  Norbert Wehn,et al.  ConGen: An Application Specific DRAM Memory Controller Generator , 2016, MEMSYS.

[75]  Nikil D. Dutt,et al.  On detecting and using memory phases in multimedia systems , 2016, 2016 14th ACM/IEEE Symposium on Embedded Systems For Real-time Multimedia (ESTIMedia).

[76]  Lothar Thiele,et al.  Timing Analysis for TDMA Arbitration in Resource Sharing Systems , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.

[77]  Akashdeep Bansal,et al.  Pushpak: Voice Command-based eBook Navigator , 2019, W4A.

[78]  Tiago Rogério Mück Reflective On-Chip Resource Management Policies for Energy-Efficient Heterogeneous Multiprocessors , 2018 .

[79]  Leandro Soares Indrusiak,et al.  An extensible framework for multicore response time analysis , 2018, Real-Time Systems.

[80]  Nikil D. Dutt,et al.  Dependability evaluation of SISO control-theoretic power managers for processor architectures , 2017, 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC).

[81]  Jian-Jia Chen,et al.  Efficient Computation of Deadline-Miss Probability and Potential Pitfalls , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[82]  Nikil D. Dutt,et al.  ML-Gov: a machine learning enhanced integrated CPU-GPU DVFS governor for mobile gaming , 2017, ESTImedia.

[83]  Sanjoy K. Baruah,et al.  Dynamic- and Static-priority Scheduling of Recurring Real-time Tasks , 2004, Real-Time Systems.

[84]  Supriya Das,et al.  Edutactile - A Tool for Rapid Generation of Accurate Guideline-Compliant Tactile Graphics for Science and Mathematics , 2014, ICCHP.

[85]  Jian-Jia Chen,et al.  Many suspensions, many problems: a review of self-suspending tasks in real-time systems , 2018, Real-Time Systems.

[86]  Jürgen Teich,et al.  Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architectures , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[87]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[88]  Norbert Wehn,et al.  Improving the error behavior of DRAM by exploiting its Z-channel property , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[89]  Xu Han,et al.  Out-of-Order Parallel Discrete Event Simulation for Transaction Level Models , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[90]  Richard Veras,et al.  RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[91]  Nikil D. Dutt,et al.  Gain scheduled control for nonlinear power management in CMPs , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[92]  Norbert Wehn,et al.  Efficient reliability management in SoCs - an approximate DRAM perspective , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[93]  Jörg Henkel,et al.  Negative Capacitance Transistor to Address the Fundamental Limitations in Technology Scaling: Processor Performance , 2018, IEEE Access.

[94]  Puneet Gupta,et al.  On-chip self-awareness using Cyberphysical-Systems-on-Chip (CPSoC) , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[95]  Lui Sha,et al.  Priority Inheritance Protocols: An Approach to Real-Time Synchronization , 1990, IEEE Trans. Computers.

[96]  Chris Fallin,et al.  Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[97]  Markus Pister Timing model derivation: pipeline analyzer generation from hardware description languages , 2012 .

[98]  C. Hu,et al.  Improved Subthreshold Swing and Short Channel Effect in FDSOI n-Channel Negative Capacitance Field Effect Transistors , 2018, IEEE Electron Device Letters.

[99]  Hyun-Soo Park,et al.  23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb LPDDR4 SDRAM for wearable devices , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[100]  Martin Schoeberl,et al.  TACLeBench: A Benchmark Collection to Support Worst-Case Execution Time Research , 2016, WCET.

[102]  Michael Glaß,et al.  Hard real-time application mapping reconfiguration for NoC-based many-core systems , 2019, Real-Time Systems.

[103]  Reinhard Wilhelm,et al.  On Predicting Data Cache Behavior for Real-Time Systems , 1998, LCTES.

[104]  Lothar Thiele,et al.  Mixed-criticality scheduling on cluster-based manycores with shared communication and storage resources , 2015, Real-Time Systems.

[105]  Jian-Jia Chen,et al.  k2U: A General Framework from k-Point Effective Schedulability Analysis to Utilization-Based Tests , 2015, 2015 IEEE Real-Time Systems Symposium.

[106]  David R. Cheriton,et al.  Thoth, a portable real-time operating system , 1979, CACM.

[107]  Yuval Yarom,et al.  RAMBleed: Reading Bits in Memory Without Accessing Them , 2020, 2020 IEEE Symposium on Security and Privacy (SP).

[108]  Axel Jantsch,et al.  Self-aware Cyber-Physical Systems-on-Chip , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[109]  Henry Hoffmann,et al.  POET: a portable approach to minimizing energy under soft real-time constraints , 2015, 21st IEEE Real-Time and Embedded Technology and Applications Symposium.

[110]  Lothar Frey,et al.  Ferroelectricity in Simple Binary ZrO2 and HfO2. , 2012, Nano letters.

[111]  Ragunathan Rajkumar,et al.  Scheduling Self-Suspending Real-Time Tasks with Rate-Monotonic Priorities , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.

[112]  Nicolas Ventroux,et al.  A new parallel SystemC kernel leveraging manycore architectures , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[113]  Michael Glaß,et al.  ActorX10: an actor library for X10 , 2016, X10@PLDI.

[114]  Dominic Oehlert,et al.  Evaluating the performance of solvers for integer-linear programming , 2018 .

[115]  Amit Kumar Singh,et al.  Energy optimization by exploiting execution slacks in streaming applications on Multiprocessor Systems , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[116]  Lothar Thiele,et al.  Worst-case response time analysis of resource access models in multi-core systems , 2010, Design Automation Conference.

[117]  Andreas Ermedahl,et al.  The Mälardalen WCET Benchmarks: Past, Present And Future , 2010, WCET.

[118]  Yogesh Singh Chauhan,et al.  Designing energy efficient and hysteresis free negative capacitance FinFET with negative DIBL and 3.5X ION using compact modeling approach , 2016, 2016 46th European Solid-State Device Research Conference (ESSDERC).

[119]  Alan Burns,et al.  Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[120]  Jung Ho Ahn,et al.  The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing , 2013, TACO.

[121]  Kunal Kwatra,et al.  Converting Mathematics Textbook to Tactile Form : Process and Experiences , 2015 .

[122]  Norbert Wehn,et al.  Efficient coding scheme for DDR4 memory subsystems , 2018, MEMSYS.

[123]  P. Ezudheen,et al.  Parallelizing SystemC Kernel for Fast Hardware Simulation on SMP Machines , 2009, 2009 ACM/IEEE/SCS 23rd Workshop on Principles of Advanced and Distributed Simulation.

[124]  Lothar Thiele,et al.  Timed model checking with abstractions: towards worst-case response time analysis in resource-sharing manycore systems , 2012, EMSOFT '12.

[125]  Pasi Liljeberg,et al.  Approximation-Aware Coordinated Power/Performance Management for Heterogeneous Multi-cores , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[126]  Nikil D. Dutt,et al.  Synergistic CPU-GPU Frequency Capping for Energy-Efficient Mobile Games , 2018, ACM Trans. Embed. Comput. Syst..

[127]  M. Balakrishnan,et al.  A path-guided audio based indoor navigation system for persons with visual impairment , 2013, ASSETS.

[128]  Michael Glaß,et al.  DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core systems , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[129]  Patrick Cousot,et al.  Abstract interpretation: a unified lattice model for static analysis of programs by construction or approximation of fixpoints , 1977, POPL.

[130]  Ragunathan Rajkumar,et al.  Coordinated Task Scheduling, Allocation and Synchronization on Multiprocessors , 2009, 2009 30th IEEE Real-Time Systems Symposium.

[131]  Reinhard Wilhelm,et al.  Cache Behavior Prediction by Abstract Interpretation , 1996, Sci. Comput. Program..

[132]  Sanjoy K. Baruah,et al.  Preemptively scheduling hard-real-time sporadic tasks on one processor , 1990, [1990] Proceedings 11th Real-Time Systems Symposium.

[133]  M. Balakrishnan,et al.  FPGA-Based Controllers for Compact Low Power Refreshable Braille Display , 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[134]  Lieven Eeckhout,et al.  Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

[135]  Klaus Gresser,et al.  An Event Model for Deadline Verification of Hard Real-Time Systems , 1993, Fifth Euromicro Workshop on Real-Time Systems.

[136]  Amin Ansari,et al.  Using Multiple Input, Multiple Output Formal Control to Maximize Resource Efficiency in Architectures , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[137]  John P. Lehoczky,et al.  The rate monotonic scheduling algorithm: exact characterization and average case behavior , 1989, [1989] Proceedings. Real-Time Systems Symposium.

[138]  Jörg Henkel,et al.  Modeling the Interdependences Between Voltage Fluctuation and BTI Aging , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[139]  Reinhard Wilhelm,et al.  Efficient and Precise Cache Behavior Prediction for Real-Time Systems , 1999, Real-Time Systems.

[140]  Hiroyuki Tomiyama,et al.  Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis , 2009, J. Inf. Process..

[141]  Norbert Wehn,et al.  Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs , 2015, MEMSYS.

[142]  Selma Saidi,et al.  Compiler-based Extraction of Event Arrival Functions for Real-Time Systems Analysis , 2018, ECRTS.

[143]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[144]  Bruce Jacob,et al.  Buffer-on-board memory systems , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[145]  Dipendra Manocha,et al.  Making Legacy Digital Content Accessible at Source , 2019, W4A.

[146]  Wang Yi,et al.  A Survey on Static Cache Analysis for Real-Time Systems , 2016, Leibniz Trans. Embed. Syst..

[147]  Jia Xu,et al.  A method for adjusting the periods of periodic processes to reduce the least common multiple of the period lengths in real-time embedded systems , 2010, Proceedings of 2010 IEEE/ASME International Conference on Mechatronic and Embedded Systems and Applications.

[148]  Jian-Jia Chen,et al.  Automatic Parameter Derivations in k2U Framework , 2016, ArXiv.

[149]  P. V. M. Rao,et al.  Refreshable Braille Display Using Shape Memory Alloy With Latch Mechanism , 2017 .

[150]  P. V. M. Rao,et al.  Tactile Diagrams for the Visually Impaired , 2017, IEEE Potentials.

[151]  Axel Jantsch,et al.  Self-Awareness in Systems on Chip— A Survey , 2017, IEEE Design & Test.

[152]  Heiko Falk,et al.  Optimal static WCET-aware scratchpad allocation of program code , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[153]  Jian-Jia Chen,et al.  Resource-Oriented Partitioned Scheduling in Multiprocessor Systems: How to Partition and How to Share? , 2016, 2016 IEEE Real-Time Systems Symposium (RTSS).

[154]  Paul Kolin,et al.  'Smart’ Cane for the Visually Impaired: Technological Solutions for Detecting Knee-Above Obstacles and Accessing Public Buses , 2007 .

[155]  Proceedings of the 28th International Symposium on Rapid System Prototyping: Shortening the Path from Specification to Prototype , 2017 .

[156]  Partha S. Roop,et al.  Runtime enforcement of reactive systems using synchronous enforcers , 2017, SPIN.

[157]  Leandro Soares Indrusiak,et al.  A generic and compositional framework for multicore response time analysis , 2015, RTNS.

[158]  Rolf Ernst,et al.  System level performance analysis - the SymTA/S approach , 2005 .

[159]  Jörg Henkel,et al.  NCFET-Aware Voltage Scaling , 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[160]  Nikil D. Dutt,et al.  PoliCym: Rapid Prototyping of Resource Management Policies for HMPs , 2017, 2017 International Symposium on Rapid System Prototyping (RSP).

[161]  Chenming Hu,et al.  Analysis and Compact Modeling of Negative Capacitance Transistor with High ON-Current and Negative Output Differential Resistance—Part II: Model Validation , 2016, IEEE Transactions on Electron Devices.

[162]  Mathai Joseph,et al.  Finding Response Times in a Real-Time System , 1986, Comput. J..

[163]  Ada Diaconescu,et al.  The Notion of Self-aware Computing , 2017, Self-Aware Computing Systems.

[164]  Wang Yi,et al.  Building timing predictable embedded systems , 2014, ACM Trans. Embed. Comput. Syst..

[165]  Peter Marwedel,et al.  An Accurate and Fine Grain Instruction-Level Energy Model Supporting Software Optimizations , 2007 .

[166]  Jana Kosecka,et al.  Control of Discrete Event Systems , 1992 .

[167]  Marc Schlickling,et al.  Timing model derivation: static analysis of hardware description languages , 2012 .

[168]  Nikil D. Dutt,et al.  SPARTA: Runtime task allocation for energy efficient heterogeneous manycores , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[169]  Rohan Paul,et al.  Assistive technology solutions for aiding travel of pedestrians with visual impairment , 2017, Journal of rehabilitation and assistive technologies engineering.

[170]  Christoph Roth,et al.  Adaptive Algorithm and Tool Flow for Accelerating SystemC on Many-Core Architectures , 2014, 2014 17th Euromicro Conference on Digital System Design.

[171]  L. Kish End of Moore's law: thermal (noise) death of integration in micro and nano electronics , 2002 .

[172]  Cristiana Bolchini,et al.  A Runtime Resource Management Policy for OpenCL Workloads on Heterogeneous Multicores , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[173]  D. Quinlan,et al.  ROSE: Compiler Support for Object-Oriented Frameworks , 1999, Parallel Process. Lett..

[174]  Axel Jantsch,et al.  SPECTR: Formal Supervisory Control and Coordination for Many-core Systems Resource Management , 2018, ASPLOS.

[175]  Giorgio C. Buttazzo,et al.  Measuring the Performance of Schedulability Tests , 2005, Real-Time Systems.

[176]  Hector Gomez,et al.  Mitigating Row Hammer attacks based on dummy cells in DRAM , 2017, 2017 IEEE International Conference on Consumer Electronics (ICCE).

[177]  Paul Lokuciejewski,et al.  WCET-aware Software Based Cache Partitioning for Multi-Task Real-Time Systems , 2009, WCET.

[178]  Rassul Ayani,et al.  Parallel Simulation , 1993, Performance/SIGMETRICS Tutorials.

[179]  Giuseppe Lipari,et al.  New Schedulability Tests for Real-Time Task Sets Scheduled by Deadline Monotonic on Multiprocessors , 2005, OPODIS.

[180]  Liliana Cucu-Grosjean,et al.  Response Time Analysis for Fixed-Priority Tasks with Multiple Probabilistic Parameters , 2013, 2013 IEEE 34th Real-Time Systems Symposium.

[181]  Arno Luppold,et al.  Schedulability-aware SPM Allocation for preemptive hard real-time systems with arbitrary activation patterns , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[182]  Axel Jantsch,et al.  Design Methodology for Responsive and Rrobust MIMO Control of Heterogeneous Multicores , 2018, IEEE Transactions on Multi-Scale Computing Systems.

[183]  Giorgio C. Buttazzo,et al.  A hyperbolic bound for the rate monotonic algorithm , 2001, Proceedings 13th Euromicro Conference on Real-Time Systems.

[184]  J. Turner,et al.  New directions in communications (or which way to the information age?) , 1986, IEEE Communications Magazine.