SWIFTNoC: A Reconfigurable Silicon-Photonic Network with Multicast-Enabled Channel Sharing for Multicore Architectures

On-chip communication is widely considered to be one of the major performance bottlenecks in contemporary chip multiprocessors (CMPs). With recent advances in silicon nanophotonics, photonics-based network-on-chip (NoC) architectures are being considered as a viable solution to support communication in future CMPs as they can enable higher bandwidth and lower power dissipation compared to traditional electrical NoCs. In this article, we present SwiftNoC, a novel reconfigurable silicon-photonic NoC architecture that features improved multicast-enabled channel sharing, as well as dynamic re-prioritization and exchange of bandwidth between clusters of cores running multiple applications, to increase channel utilization and system performance. Experimental results show that SwiftNoC improves throughput by up to 25.4× while reducing latency by up to 72.4% and energy-per-bit by up to 95% over state-of-the-art solutions.

[1]  Sandro Bartolini,et al.  Design Options for Optical Ring Interconnect in Future Client Devices , 2014, JETC.

[2]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[3]  Ricardo Bianchini,et al.  OPTNET: a cost-effective optical network for multiprocessors , 1998, ICS '98.

[4]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[5]  Sudeep Pasricha,et al.  Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.

[6]  Sudeep Pasricha,et al.  A Particle Swarm Optimization approach for synthesizing application-specific hybrid photonic networks-on-chip , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[7]  Sudeep Pasricha,et al.  Run-time laser power management in photonic NoCs with on-chip semiconductor optical amplifiers , 2016, 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[8]  Xin Fu,et al.  Aurora: A Cross-Layer Solution for Thermally Resilient Photonic Network-on-Chip , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Kaustav Banerjee,et al.  Performance analysis of carbon nanotube interconnects for VLSI applications , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[10]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[11]  F.J. Leonberger,et al.  Optical interconnections for VLSI systems , 1984, Proceedings of the IEEE.

[12]  Sudeep Pasricha,et al.  Mitigation of homodyne crosstalk noise in silicon photonic NoC architectures with tunable decoupling , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[13]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[14]  Natalie D. Enright Jerger,et al.  Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.

[15]  Sudeep Pasricha,et al.  An application-aware heterogeneous prioritization framework for NoC based chip multiprocessors , 2014, Fifteenth International Symposium on Quality Electronic Design.

[16]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[17]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[18]  Sudeep Pasricha,et al.  Process variation aware crosstalk mitigation for DWDM based photonic NoC architectures , 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

[19]  Nikil Dutt,et al.  On-Chip Communication Architectures: System on Chip Interconnect , 2008 .

[20]  H. Jonathan Chao,et al.  BLOCON: A Bufferless Photonic Clos network-on-chip architecture , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[21]  Alejandro Rico,et al.  Interleaving granularity on high bandwidth memory architecture for CMPs , 2010, 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

[22]  Yi Wang,et al.  SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.

[23]  Nikil Dutt,et al.  Encoding Techniques for On-Chip Communication Architectures , 2008 .

[24]  Avinash Karanth Kodi,et al.  RAPID: reconfigurable and scalable all-photonic interconnect for distributed shared memory multiprocessors , 2004, Journal of Lightwave Technology.

[25]  Cheng Li,et al.  Energy-efficient optical broadcast for nanophotonic networks-on-chip , 2012, 2012 Optical Interconnects Conference.

[26]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[27]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[28]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[29]  Luca P. Carloni,et al.  Design Exploration of Optical Interconnection Networks for Chip Multiprocessors , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[30]  Patrizia Lamberti,et al.  Reliable bounds for the propagation delay in VLSI nano interconnects based on Multi Wall Carbon Nano Tubes , 2010, 2010 IEEE 14th Workshop on Signal Propagation on Interconnects.

[31]  Sudeep Pasricha,et al.  Reconfigurable Silicon-Photonic Network with Improved Channel Sharing for Multicore Architectures , 2015, ACM Great Lakes Symposium on VLSI.

[32]  Rami Melhem,et al.  Optoelectronic buses for high-performance computing , 1994 .

[33]  Timothy Mark Pinkston,et al.  SPEED DMON: Cache Coherence on an Optical Multichannel Interconnect Architecture , 1997, J. Parallel Distributed Comput..

[34]  Partha Pratim Pande,et al.  Design space exploration for reliable mm-wave wireless NoC architectures , 2013, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors.

[35]  Mikko H. Lipasti,et al.  Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[36]  Sudeep Pasricha,et al.  OPAL: A multi-layer hybrid photonic NoC for 3D ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[37]  Partha Pratim Pande,et al.  T1B: Wireless NoC as interconnection backbone for multicore chips: Promises and challenges , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).

[38]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[39]  Sudeep Pasricha,et al.  SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip , 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

[40]  Natalie D. Enright Jerger,et al.  QuT: A low-power optical Network-on-Chip , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[41]  Sudeep Pasricha,et al.  PICO: Mitigating heterodyne crosstalk due to process variations and intermodulation effects in photonic NoCs , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[42]  Norman P. Jouppi,et al.  A high-speed optical multi-drop bus for computer interconnections , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[43]  Sudeep Pasricha,et al.  Islands of heaters: A novel thermal management framework for photonic NoCs , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[44]  David A. B. Miller,et al.  Device Requirements for Optical Interconnects to Silicon Chips , 2009, Proceedings of the IEEE.

[45]  Gabriela Nicolescu,et al.  Optical Crossbars on Chip, a comparative study based on worst-case propagation losses , 2014, HiPEAC 2014.

[46]  Chita R. Das,et al.  A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[47]  George Kurian,et al.  ATAC: Improving performance and programmability with on-chip optical networks , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[48]  Christof Teuscher,et al.  Design of an efficient NoC architecture using millimeter-wave wireless links , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[49]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[50]  Wei Zhang,et al.  UNION: A unified inter/intra-chip optical network for chip multiprocessors , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

[51]  Li Zhou,et al.  PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[52]  G. Duesberg,et al.  Carbon nanotubes for interconnect applications , 2002, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[53]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[54]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[55]  Nevin Kirman,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS 2010.

[56]  Radu Marculescu,et al.  Low-latency wireless 3D NoCs via randomized shortcut chips , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[57]  Alain C. Diebold,et al.  2012 Updates to the International Technology Roadmap for Semiconductors (ITRS) Metrology Chapter | NIST , 2013 .

[58]  Yuankun Xue,et al.  User Cooperation Network Coding Approach for NoC Performance Improvement , 2015, NOCS.

[59]  Chen Sun,et al.  DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[60]  Chao Chen,et al.  Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture , 2013, IEEE Journal of Selected Topics in Quantum Electronics.

[61]  Nikil D. Dutt,et al.  System level performance analysis of carbon nanotube global interconnects for emerging chip multiprocessors , 2008, 2008 IEEE International Symposium on Nanoscale Architectures.

[62]  Avinash Kodi,et al.  nD-RAPID: a multidimensional scalable fault-tolerant optoelectronic interconnection for high-performance computing systems , 2007 .

[63]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[64]  Avinash Karanth Kodi,et al.  Power-Efficient and High-Performance Multi-level Hybrid Nanophotonic Interconnect for Multicores , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.