Technological exploration of RRAM crossbar array for matrix-vector multiplication
暂无分享,去创建一个
Yu Wang | Shimeng Yu | Yu Cao | Huazhong Yang | Peng Gu | Tianqi Tang | Boxun Li
[1] Shibing Long,et al. A Model for the Set Statistics of RRAM Inspired in the Percolation Model of Oxide Breakdown , 2013, IEEE Electron Device Letters.
[2] Rong Luo,et al. Energy efficient spiking neural network design with RRAM devices , 2014 .
[3] Shimeng Yu,et al. Metal–Oxide RRAM , 2012, Proceedings of the IEEE.
[4] Shimeng Yu,et al. On the stochastic nature of resistive switching in metal oxide RRAM: Physical modeling, monte carlo simulation, and experimental characterization , 2011, 2011 International Electron Devices Meeting.
[5] Lipo Wang. Support vector machines : theory and applications , 2005 .
[6] Wei Lu,et al. Short-term Memory to Long-term Memory Transition in a Nanoscale Memristor , 2022 .
[7] Shimeng Yu,et al. On the Switching Parameter Variation of Metal-Oxide RRAM—Part I: Physical Modeling and Simulation Methodology , 2012, IEEE Transactions on Electron Devices.
[8] Ryutaro Yasuhara,et al. Filament scaling forming technique and level-verify-write scheme with endurance over 107 cycles in ReRAM , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[9] Shimeng Yu,et al. A neuromorphic visual system using RRAM synaptic devices with Sub-pJ energy and tolerance to variability: Experimental characterization and large-scale modeling , 2012, 2012 International Electron Devices Meeting.
[10] Kurt Keutzer,et al. Fast support vector machine training and classification on graphics processors , 2008, ICML '08.
[11] Shimeng Yu,et al. A Low Energy Oxide‐Based Electronic Synaptic Device for Neuromorphic Visual Systems with Tolerance to Device Variation , 2013, Advanced materials.
[12] L. Goux,et al. Causes and consequences of the stochastic aspect of filamentary RRAM , 2015 .
[13] Yu Wang,et al. Training itself: Mixed-signal training acceleration for memristor-based neural network , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[14] O. Richard,et al. 10×10nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation , 2011, 2011 International Electron Devices Meeting.
[15] H. Hwang,et al. Analog memory and spike-timing-dependent plasticity characteristics of a nanoscale titanium oxide bilayer resistive switching device , 2011, Nanotechnology.
[16] Yu Wang,et al. RRAM-Based Analog Approximate Computing , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] L. Goux,et al. Ultralow sub-500nA operating current high-performance TiN\Al2O3\HfO2\Hf\TiN bipolar RRAM achieved through understanding-based stack-engineering , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[18] Amit Prakash,et al. Self-compliance-improved resistive switching using Ir/TaOx/W cross-point memory , 2013, Nanoscale Research Letters.
[19] Heng-Yuan Lee,et al. A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme , 2009, 2009 Symposium on VLSI Circuits.
[20] Marc'Aurelio Ranzato,et al. Large Scale Distributed Deep Networks , 2012, NIPS.
[21] An Chen,et al. Variability of resistive switching memories and its impact on crossbar array performance , 2011, 2011 International Reliability Physics Symposium.
[22] Yiran Chen,et al. Memristor Crossbar-Based Neuromorphic Computing System: A Case Study , 2014, IEEE Transactions on Neural Networks and Learning Systems.
[23] Samuel Williams,et al. Optimization of sparse matrix-vector multiplication on emerging multicore platforms , 2009, Parallel Comput..
[24] Frederick T. Chen,et al. Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM , 2008, 2008 IEEE International Electron Devices Meeting.
[25] Yu Wang,et al. Energy Efficient RRAM Spiking Neural Network for Real Time Classification , 2015, ACM Great Lakes Symposium on VLSI.
[26] Cong Xu,et al. Design implications of memristor-based RRAM cross-point structures , 2011, 2011 Design, Automation & Test in Europe.
[27] P. Patil,et al. Investigation of process parameter variation in the memristor based resistive random access memory (RRAM): Effect of device size variations , 2015 .
[28] Bing Chen,et al. RRAM Crossbar Array With Cell Selection Device: A Device and Circuit Interaction Study , 2013, IEEE Transactions on Electron Devices.
[29] Yiran Chen,et al. Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[30] Shyh-Chyi Wong,et al. Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .
[31] N. Singh,et al. $\hbox{HfO}_{x}/\hbox{TiO}_{x}/\hbox{HfO}_{x}/ \hbox{TiO}_{x}$ Multilayer-Based Forming-Free RRAM Devices With Excellent Uniformity , 2011, IEEE Electron Device Letters.
[32] He Qian,et al. Resisitive switching variability study on 1T1R AlOx/WOx-based RRAM array , 2013, 2013 IEEE International Conference of Electron Devices and Solid-state Circuits.
[33] Rong Luo,et al. Energy efficient neural networks for big data analytics , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[34] Damien Querlioz,et al. Simulation of a memristor-based spiking neural network immune to device variations , 2011, The 2011 International Joint Conference on Neural Networks.
[35] Sachhidh Kannan,et al. Sneak-Path Testing of Crossbar-Based Nonvolatile Random Access Memories , 2013, IEEE Transactions on Nanotechnology.
[36] U-In Chung,et al. Multi-level switching of triple-layered TaOx RRAM with excellent reliability for storage class memory , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[37] Shimeng Yu,et al. A SPICE Compact Model of Metal Oxide Resistive Switching Memory With Variations , 2012, IEEE Electron Device Letters.
[38] Nasser M. Nasrabadi,et al. Pattern Recognition and Machine Learning , 2006, Technometrics.
[39] L. Larcher,et al. Leakage Current-Forming Voltage Relation and Oxygen Gettering in HfOx RRAM Devices , 2013, IEEE Electron Device Letters.
[40] Robert Tibshirani,et al. The Elements of Statistical Learning: Data Mining, Inference, and Prediction, 2nd Edition , 2001, Springer Series in Statistics.
[41] B. Tillack,et al. Resistive switching characteristics of CMOS embedded HfO2-based 1T1R cells , 2011 .
[42] Qing Wu,et al. Hardware realization of BSB recall function using memristor crossbar arrays , 2012, DAC Design Automation Conference 2012.
[43] Yu Wang,et al. Memristor-based approximated computation , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[44] Viktor K. Prasanna,et al. Energy- and time-efficient matrix multiplication on FPGAs , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[45] T. Serrano-Gotarredona,et al. STDP and STDP variations with memristors for spiking neuromorphic learning systems , 2013, Front. Neurosci..