Design considerations of HBM stacked DRAM and the memory architecture extension
暂无分享,去创建一个
Jaejin Lee | Kyung Whan Kim | Jun Hyun Chun | Yongwoo Lee | Dong Uk Lee | Kang Seol Lee | Jong Ho Kang | Jaejin Lee | Dong-Uk Lee | Kangseol Lee | J. Chun | Jong Kang | Yong-jun Lee | Kyung Whan Kim
[1] Taigon Song,et al. PDN Impedance Modeling and Analysis of 3D TSV IC by Using Proposed P/G TSV Array Model Based on Separated P/G TSV and Chip-PDN Models , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[2] Reum Oh,et al. Design technologies for a 1.2V 2.4Gb/s/pin high capacity DDR4 SDRAM with TSVs , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[3] Jack Y-C Sun. System scaling and collaborative open innovation , 2013, 2013 Symposium on VLSI Technology.
[4] Jae-Hyung Lee,et al. A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with Multifaceted Clocking and ISI/SSN-Reduction Techniques , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[5] Young-Hyun Jun,et al. A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4×128 I/Os using TSV-based stacking , 2011, 2011 IEEE International Solid-State Circuits Conference.
[6] Min-Jer Wang,et al. An extra low-power 1Tbit/s bandwidth PLL/DLL-less eDRAM PHY using 0.3V low-swing IO for 2.5D CoWoS application , 2013, 2013 Symposium on VLSI Technology.
[7] Brion L. Keller,et al. Use of MISRs for compression and diagnostics , 2005, IEEE International Conference on Test, 2005..
[8] Jaejin Lee,et al. An exact measurement and repair circuit of TSV connections for 128GB/s high-bandwidth memory(HBM) stacked DRAM , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[9] Sean Eilert,et al. DataCenter 2020: Near-memory acceleration for data-oriented applications , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[10] Koji Nii,et al. A 65 nm Embedded SRAM With Wafer Level Burn-In Mode, Leak-Bit Redundancy and Cu E-Trim Fuse for Known Good Die , 2008, IEEE Journal of Solid-State Circuits.
[11] Peter Gregorius,et al. 75nm 7Gb/s/pin 1Gb GDDR5 graphics memory device with bandwidth-improvement techniques , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[12] Young-Hyun Jun,et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.
[13] Dinesh Maheshwari. 6.1 memory and system architecture for 400Gb/s networking and beyond , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[14] Jaejin Lee,et al. A 1.2 V 8 Gb 8-Channel 128 GB/s High-Bandwidth Memory (HBM) Stacked DRAM With Effective I/O Test Circuits , 2015, IEEE Journal of Solid-State Circuits.
[15] Onur Mutlu,et al. A case for exploiting subarray-level parallelism (SALP) in DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[16] Chulwoo Kim,et al. A 283.2μW 800Mb/s/pin DLL-based data self-aligner for Through-Silicon Via (TSV) interface , 2012, 2012 IEEE International Solid-State Circuits Conference.
[17] M. Wada,et al. A pseudo multi-bank DRAM with categorized access sequence , 1999, 1999 Symposium on VLSI Circuits. Digest of Papers (IEEE Cat. No.99CH36326).
[18] Xin Wu,et al. Advancing high performance heterogeneous integration through die stacking , 2012, 2012 Proceedings of the European Solid-State Device Research Conference (ESSDERC).
[19] Christoforos E. Kozyrakis,et al. Towards energy-proportional datacenter memory with mobile DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[20] Chulwoo Kim,et al. A 247 µW 800 Mb/s/pin DLL-Based Data Self-Aligner for Through Silicon via (TSV) Interface , 2012, IEEE Journal of Solid-State Circuits.
[21] Yong Liu,et al. A compact low-power 3D I/O in 45nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.
[22] Onur Mutlu,et al. Tiered-latency DRAM: A low latency and low cost DRAM architecture , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[23] Timothy M. Hollis. Data Bus Inversion in High-Speed Memory Applications , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.
[24] Jae-Hyung Lee,et al. A 7 Gb/s/pin 1 Gbit GDDR5 SDRAM With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction , 2011, IEEE Journal of Solid-State Circuits.
[25] Atsushi Sakai,et al. A 100GB/s wide I/O with 4096b TSVs through an active silicon interposer with in-place waveform capturing , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[26] Peter Gregorius,et al. A 75 nm 7 Gb/s/pin 1 Gb GDDR5 Graphics Memory Device With Bandwidth Improvement Techniques , 2010, IEEE Journal of Solid-State Circuits.
[27] Kyung Whan Kim,et al. TSV technology and challenges for 3D stacked DRAM , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.
[28] Emerson S. Fang,et al. Loopback architecture for wafer-level at-speed testing of embedded HyperTransportTM processor links , 2009, 2009 IEEE Custom Integrated Circuits Conference.
[29] Shi-Yu Huang,et al. Robust SRAM Design via BIST-Assisted Timing-Tracking (BATT) , 2009, IEEE J. Solid State Circuits.