A 7T/14T Dependable SRAM and its Array Structure to Avoid Half Selection

We propose a novel dependable SRAM with 7T cells and their array structure that avoids a half-selection problem. In addition, we introduce a new concept, "quality of a bit (QoB)" for it. The dependable SRAM has three modes (normal mode, high-speed mode, and dependable mode), and dynamically scales its reliability and speed by combining two memory cells for one-bit information (i.e. 14T/bit). Monte Carlo simulation demonstrates that, in a 65-nm process technology, the minimum voltages in read and write operations are improved by 0.20V and 0.26V, respectively, with a bit error rate of 10-8 kept. The cell area overhead is 11%, compared to the conventional 6T cell in the normal mode.

[1]  K. Nii,et al.  A 90-nm low-power 32-kB embedded SRAM with gate leakage suppression circuit for mobile applications , 2004, IEEE Journal of Solid-State Circuits.

[2]  Kaushik Roy,et al.  A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[3]  Hiroyuki Yamauchi,et al.  A 1R/1W SRAM Cell Design to Keep Cell Current and Area Saving against Simultaneous Read/Write Disturbed Accesses , 2007, IEICE Trans. Electron..

[4]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[5]  Jason Liu,et al.  A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[6]  Naveen Verma,et al.  A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[7]  K. Nii,et al.  90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique , 2006, IEEE Journal of Solid-State Circuits.

[8]  Wim Dehaene,et al.  Statistically aware SRAM memory array design , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[9]  J. Miyakoshi,et al.  A Vth-Variation-Tolerant SRAM with 0.3-V Minimum Operation Voltage for Memory-Rich SoC Under DVS Environment , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[10]  S. Burns,et al.  An SRAM Design in 65nm and 45nm Technology Nodes Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..