Design of a Nonvolatile 7T1R SRAM Cell for Instant-on Operation

Energy consumption is a major concern in nanoscale CMOS ICs; the power-Off operational mode and low-voltage circuits have been proposed to alleviate energy dissipation. Static random access memories (SRAMs) are widely used in today's chips; nonvolatile SRAMs (NVSRAMs) have been proposed to preserve data, while providing fast power- On/Off speeds. Nonvolatile operation is usually accomplished by the use of a resistive RAM circuit (hence referred to as RRAM); the utilization of a RRAM with an SRAMs not only enables chips to achieve low energy consumption for nonvolatile operation, but it also permits to restore data when a restore on power-up is performed (this operation is also commonly referred to as “Instant-on”). This paper presents a novel NVSRAM circuit for “Instant-on” operation and evaluates its performance at nanometric feature sizes. The proposed memory cell consists of a SRAM core (in this case, a 6T cell) and an oxide resistive RRAM circuit (1T1R), thus making a 7T1R scheme. The proposed cell offers better nonvolatile performance (in terms of operations such as “Store,” “Power-down,” and “Restore”) when compared with existing nonvolatile cells. The scenario of multiple-context configuration is also analyzed. Figures of merit such as energy, operational delay, and area are also substantially improved, making the proposed design a better scheme for “Instant-on” operation.

[1]  M. Takata,et al.  Nonvolatile SRAM based on Phase Change , 2006, 2006 21st IEEE Non-Volatile Semiconductor Memory Workshop.

[2]  Jiajing Wang,et al.  Analyzing static and dynamic write margin for nanometer SRAMs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[3]  Hisashi Shima,et al.  Resistive Random Access Memory (ReRAM) Based on Metal Oxides , 2010, Proceedings of the IEEE.

[4]  Dong Myong Kim,et al.  A compact HSPICE macromodel of resistive RAM , 2007, IEICE Electron. Express.

[5]  Hiroki Koike,et al.  NV-SRAM: a nonvolatile SRAM with backup ferroelectric capacitors , 2001 .

[6]  S. Sugahara,et al.  Analysis of static noise margin and power-gating efficiency of a new nonvolatile SRAM cell using pseudo-spin-MOSFETs , 2012, 2012 IEEE Silicon Nanoelectronics Workshop (SNW).

[7]  Yusuke Shuto,et al.  Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[8]  Qing Dong,et al.  Novel RRAM programming technology for instant-on and high-security FPGAs , 2011, 2011 9th IEEE International Conference on ASIC.

[9]  G. Edward Suh,et al.  Low power nonvolatile SRAM circuit with integrated low voltage nanocrystal PMOS Flash , 2010, 23rd IEEE International SOC Conference.

[10]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[11]  C. E. Herdt Nonvolatile SRAM - The Next Generation , 1993, [1993 Proceedings] Fifth Biennial Nonvolatile Memory Technology Review.

[12]  Jose Antonio Rubio Sola,et al.  Process variability in sub-16nm bulk CMOS technology , 2012 .

[13]  H. Hwang,et al.  Resistance switching of copper doped MoOx films for nonvolatile memory applications , 2007 .

[14]  Meng-Fan Chang,et al.  A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications , 2010, 2010 Symposium on VLSI Circuits.

[15]  O. Richard,et al.  10×10nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation , 2011, 2011 International Electron Devices Meeting.

[16]  Fabien Clermidy,et al.  Using OxRRAM memories for improving communications of reconfigurable FPGA architectures , 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.

[17]  Meng-Fan Chang,et al.  Endurance-aware circuit designs of nonvolatile logic and nonvolatile sram using resistive memory (memristor) device , 2012, 17th Asia and South Pacific Design Automation Conference.

[18]  S. Haddad,et al.  Erasing characteristics of Cu2O metal-insulator-metal resistive switching memory , 2008 .

[19]  Ogun Turkyilmaz,et al.  RRAM-based FPGA for "Normally Off, Instantly On" applications , 2014, J. Parallel Distributed Comput..