An efficient SRAM yield analysis method based on scaled-sigma adaptive importance sampling with meta-model accelerated

[1]  Benton H. Calhoun,et al.  Dynamic Read VMIN and Yield Estimation for Nanoscale SRAMs , 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

[2]  Rouwaida Kanj,et al.  Hybrid Importance Splitting Importance Sampling Methodology for Fast Yield Analysis of Memory Designs , 2020, 2020 IEEE International Symposium on Circuits and Systems (ISCAS).

[3]  Liang Pang,et al.  An Efficient SRAM yield Analysis Using Scaled-Sigma Adaptive Importance Sampling , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[4]  Hong Zhou,et al.  Artificial Neural Network , 2020, Encyclopedia of GIS.

[5]  Jun Yang,et al.  A Fast and Robust Failure Analysis of Memory Circuits Using Adaptive Importance Sampling Method , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[6]  Xuan Zeng,et al.  High-Dimensional and Multiple-Failure-Region Importance Sampling for SRAM Yield Analysis , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Joost C F de Winter,et al.  Comparing the Pearson and Spearman correlation coefficients across distributions and sample sizes: A tutorial using simulations and empirical data. , 2016, Psychological methods.

[8]  Wei Wu,et al.  Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage , 2016, ISPD.

[9]  Hanwool Jeong,et al.  Architecture-Aware Analytical Yield Model for Read Access in Static Random Access Memory , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Wei Wu,et al.  A fast and provably bounded failure analysis of memory circuits in high dimensions , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[11]  Hiroyuki Ochi,et al.  Sequential importance sampling for low-probability and high-dimensional SRAM yield analysis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[12]  Lara Dolecek,et al.  Loop flattening & spherical sampling: Highly efficient model reduction techniques for SRAM yield analysis , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[13]  Jie Gu,et al.  Non-linear Operating Point Statistical Analysis for Local Variations in logic timing at low voltage , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[14]  D. Shah,et al.  Breaking the simulation barrier: SRAM evaluation through norm minimization , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[15]  Rob A. Rutenbar,et al.  Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[16]  Rob A. Rutenbar,et al.  Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and its Application , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[17]  Rajiv V. Joshi,et al.  Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[18]  I. J. Myung,et al.  Tutorial on maximum likelihood estimation , 2003 .

[19]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[20]  T. Moon The expectation-maximization algorithm , 1996, IEEE Signal Process. Mag..

[21]  H. Hartley The Modified Gauss-Newton Method for the Fitting of Non-Linear Regression Functions by Least Squares , 1961 .