Power- and Complexity-Aware Issue Queue Designs
暂无分享,去创建一个
[1] Michael L. Scott,et al. Integrating adaptive on-chip storage structures for reduced dynamic power , 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.
[2] Dezsö Sima. Superscalar instruction issue , 1997, IEEE Micro.
[3] Pradip Bose,et al. Tradeoffs in power-efficient issue queue design , 2002, ISLPED '02.
[4] Ramon Canal,et al. Reducing the complexity of the issue logic , 2001, ICS '01.
[5] Ramon Canal,et al. A low-complexity issue logic , 2000, ICS '00.
[6] Chris Wilkerson,et al. Locality vs. criticality , 2001, ISCA 2001.
[7] Pierre Michaud,et al. Data-flow prescheduling for large instruction windows in out-of-order processors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[8] J. P. Grossman. Cheap out-of-order execution using delayed issue , 2000, Proceedings 2000 International Conference on Computer Design.
[9] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, ISCA.
[10] Rastislav Bodík,et al. Focusing processor policies via critical-path prediction , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.
[11] Tong Li,et al. A large, fast instruction window for tolerating cache misses , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[12] Jaume Abella,et al. Power-Aware Adaptive Issue Queue and Register File , 2003, HiPC.
[13] Gürhan Küçük,et al. Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources , 2001, MICRO.
[14] Rajiv Gupta,et al. Superscalar execution with dynamic data forwarding , 1998, Proceedings. 1998 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.98EX192).
[15] David M. Brooks,et al. A circuit level implementation of an adaptive issue queue for power-aware microprocessors , 2001, GLSVLSI '01.
[16] Yale N. Patt,et al. Select-free instruction scheduling logic , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[17] Chris Wilkerson,et al. Hierarchical scheduling windows , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[18] Yale N. Patt,et al. An investigation of the performance of various dynamic scheduling techniques , 1992, MICRO.
[19] R. Iris Bahar,et al. Complexity-Effective Issue Queue Design Under Load-Hit Speculation , 2002 .
[20] Itsujiro Arita,et al. Revisiting Direct Tag Search Algorithm on Superscalar Processors , 2001 .
[21] J. Torrellas,et al. Energy-efficient hybrid wakeup logic , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.
[22] Todd M. Austin,et al. Efficient dynamic scheduling through tag elimination , 2002, ISCA.
[23] Steven K. Reinhardt,et al. A scalable instruction queue design using dependence chains , 2002, ISCA.
[24] Masahiro Goshima,et al. A high-speed dynamic instruction scheduling scheme for superscalar processors , 2001, MICRO.
[25] Kanad Ghose,et al. Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[26] Antonio González,et al. Energy-effective issue logic , 2001, ISCA 2001.