Line edge roughness frequency analysis during pattern transfer in semiconductor fabrication

Abstract. Line edge roughness (LER) and line width roughness (LWR) are analyzed based on the frequency domain 3σ LER characterization methodology during pattern transfer in a self-aligned double patterning (SADP) process. The power spectrum of the LER/LWR is divided into three regions: low frequency, middle frequency, and high frequency regions. Three standard deviation numbers are used to characterize the LER/LWR in the three frequency regions. Pattern wiggling is also detected quantitatively during LER/LWR transfer in the SADP process.

[1]  W. Zhang,et al.  Impact of line edge roughness on copper interconnects , 2006 .

[2]  Shaunee Cheng,et al.  Evaluation of roughness transfer from Litho to Etch using CD-SEM , 2012, Advanced Lithography.

[3]  V. Bakshi EUV Lithography , 2008 .

[4]  Harry J. Levinson,et al.  Principles of Lithography , 2001 .

[5]  Alessandro Vaglio Pret,et al.  Impact of mask line roughness in EUV lithography , 2011, Advanced Lithography.

[6]  J. S. Villarrubia,et al.  Unbiased estimation of linewidth roughness , 2005, SPIE Advanced Lithography.

[7]  Lei Sun,et al.  Application of frequency domain line edge roughness characterization methodology in lithography , 2015, Advanced Lithography.

[8]  P. Fisher,et al.  Is gate line edge roughness a first-order issue in affecting the performance of deep sub-micro bulk MOSFET devices? , 2004, IEEE Transactions on Semiconductor Manufacturing.

[9]  Harry J. Levinson,et al.  Line edge roughness impact on critical dimension variation , 2007, SPIE Advanced Lithography.

[10]  Anne McGuire,et al.  EUV mask line edge roughness , 2012, Advanced Lithography.

[11]  Shiying Xiong,et al.  Gate line-edge roughness effects in 50-nm bulk MOSFET devices , 2002, SPIE Advanced Lithography.

[12]  David Z. Pan,et al.  Modeling and characterization of contact-edge roughness for minimizing design and manufacturing variations , 2010 .

[13]  Evangelos Gogolides,et al.  Photoresist line-edge roughness analysis using scaling concepts , 2003, SPIE Advanced Lithography.

[14]  Egon Marx,et al.  Power spectral densities: A multiple technique study of different Si wafer surfaces , 2002 .

[15]  Evangelos Gogolides,et al.  Towards an integrated line edge roughness understanding: metrology, characterization, and plasma etching transfer , 2013, Advanced Lithography.

[16]  Jonathan L. Cobb,et al.  Reduction of line edge roughness and post resist trim pattern collapse for sub 60 nm gate patterns using gas-phase resist fluorination , 2004, SPIE Advanced Lithography.

[17]  Ajay Kumar,et al.  Line width roughness and its control on photomask , 2013, Photomask Technology.

[18]  John S. Villarrubia,et al.  Determination of optimal parameters for CD-SEM measurement of line-edge roughness , 2004, SPIE Advanced Lithography.

[19]  Nelson Felix,et al.  EUV processing and characterization for BEOL , 2015, Advanced Lithography.

[20]  Lei Sun,et al.  Insertion strategy for EUV lithography , 2012, Advanced Lithography.

[21]  John S. Villarrubia,et al.  CD-SEM measurement line edge roughness test patterns for 193 nm lithography , 2003, SPIE Advanced Lithography.

[22]  Emily Gallagher,et al.  Impact of EUV photomask line-edge roughness on wafer prints , 2012, Photomask Technology.

[23]  Ralph R. Dammel,et al.  Possible Origins and Some Methods to Minimize LER , 2005 .

[24]  E. Gogolides,et al.  A review of line edge roughness and surface nanotexture resulting from patterning processes , 2006 .

[25]  Warren Montgomery,et al.  22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP) , 2008, SPIE Advanced Lithography.

[26]  Harry J. Levinson,et al.  Line-edge roughness in 193-nm resists: lithographic aspects and etch transfer , 2007, SPIE Advanced Lithography.

[27]  Evangelos Gogolides,et al.  Photoresist line-edge roughness analysis using scaling concepts , 2004 .