Predictive dynamic thermal and power management for heterogeneous mobile platforms
暂无分享,去创建一个
[1] Dilip Krishnaswamy,et al. PROMETHEUS: A Proactive Method for Thermal Management of Heterogeneous MPSoCs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Huazhong Yang,et al. Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .
[3] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[4] Eun Jung Kim,et al. Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[5] Volkan Kursun,et al. Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[6] Omer Khan,et al. Hardware/software co-design architecture for thermal management of chip multiprocessors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[7] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[8] Kevin Skadron,et al. Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.
[9] Kai Ma,et al. Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.
[10] Ümit Y. Ogras,et al. Towards platform level power management in mobile systems , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).
[11] Lei Yang,et al. Accurate online power estimation and automatic battery behavior based power model generation for smartphones , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[12] Lennart Ljung,et al. System identification toolbox for use with MATLAB , 1988 .
[13] Mahmut T. Kandemir,et al. The design and use of simplePower: a cycle-accurate energy estimation tool , 2000, Proceedings 37th Design Automation Conference.
[14] José González,et al. Understanding the Thermal Implications of Multi-Core Architectures , 2007, IEEE Transactions on Parallel and Distributed Systems.
[15] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[16] Vanchinathan Venkataramani,et al. Hierarchical power management for asymmetric multi-core in dark silicon era , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[17] Jörg Henkel,et al. Dynamic thermal management in 3D multi-core architecture through run-time adaptation , 2011, 2011 Design, Automation & Test in Europe.
[18] F. Tan,et al. Cooling of portable hand-held electronic devices using phase change materials in finned heat sinks , 2010 .
[19] Shahin Nazarian,et al. Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.
[20] Siddharth Garg,et al. Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations , 2011, 2011 Design, Automation & Test in Europe.
[21] Li Shang,et al. Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors , 2007, IEEE Micro.
[22] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[23] William J. Bowhill,et al. Design of High-Performance Microprocessor Circuits , 2001 .
[24] Naehyuck Chang,et al. Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[25] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[26] Yusuf Leblebici,et al. Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[27] Christopher J. Hughes,et al. Saving energy with architectural and frequency adaptations for multimedia applications , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[28] Mohammad Abdullah Al Faruque,et al. Runtime Thermal Management Using Software Agents for Multi- and Many-Core Architectures , 2010, IEEE Design & Test of Computers.
[29] Tajana Simunic,et al. Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] Kevin Skadron,et al. Studying Thermal Management for Graphics-Processor Architectures , 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..
[31] Alan J. Weger,et al. Thermal-aware task scheduling at the system software level , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[32] Richard McGowen. Adaptive designs for power and thermal optimization , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[33] Stephanie Thalberg,et al. Fundamentals Of Modern Vlsi Devices , 2016 .
[34] R. Mukherjee,et al. Physical Aware Frequency Selection for Dynamic Thermal Management in Multi-Core Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[35] Luca Benini,et al. Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization , 2008, 2008 Design, Automation and Test in Europe.
[36] Li Shang,et al. Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[37] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[38] Lei He,et al. Leakage power modeling and reduction with data retention , 2002, ICCAD 2002.
[39] José Manuel Moya,et al. Leakage-Aware Cooling Management for Improving Server Energy Efficiency , 2015, IEEE Transactions on Parallel and Distributed Systems.
[40] Gernot Heiser,et al. An Analysis of Power Consumption in a Smartphone , 2010, USENIX Annual Technical Conference.
[41] Giovanni De Micheli,et al. Multicore thermal management with model predictive control , 2009, 2009 European Conference on Circuit Theory and Design.
[42] Karthick Rajamani,et al. Thermal response to DVFS: analysis with an Intel Pentium M , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[43] Sarma B. K. Vrudhula,et al. Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[44] Tajana Simunic,et al. Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[45] Marek Chrobak,et al. Dynamic Thermal Management through Task Scheduling , 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.