Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs

As process technology scales, SRAM robustness is compromised. In addition, lowering the supply voltage to reduce power consumption further reduces the read and write margins. To maintain robustness, a new bitcell topology, 8-T bitcell, has been proposed and read where write operation can be separately optimized. However, it can aggravate the half select disturb when write word-line boosting is applied or the bitcell sizing is done to enable robust writability. The half select disturb issue limits the use of a bit-interleaved array configuration required for immunity to soft errors. The opposing characteristic between write operation and half select disturb generates a new constraint which should be carefully considered for robust operation of voltage-scaled bit-interleaved 8-T SRAMs. In this paper, we propose bit-interleaved writability analysis that captures the double-sided constraints placed on the word-line pulse width and voltage level to ensure writability while avoiding half select disturb issue. Using the proposed analysis, we investigate the effectiveness of word-line boosting and device sizing optimization on improving bitcell robustness in low voltage region. With 57.7% of area overhead and 0.1V of word-line boosting, we can achieve 4.6σ of VTH mismatch tolerance at 0.6V and it shows 41% of energy saving.

[1]  W. Huott,et al.  6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM , 2007, 2007 IEEE Symposium on VLSI Circuits.

[2]  David Blaauw,et al.  A black box method for stability analysis of arbitrary SRAM cell structures , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[3]  Zheng Guo,et al.  Dynamic SRAM stability characterization in 45nm CMOS , 2010, 2010 Symposium on VLSI Circuits.

[4]  Robert C. Aitken,et al.  Impact of voltage scaling on nanoscale SRAM reliability , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[5]  David Blaauw,et al.  Yield-Driven Near-Threshold SRAM Design , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  R. Baumann Soft errors in advanced semiconductor devices-part I: the three radiation sources , 2001 .

[7]  Keith A. Bowman,et al.  PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[8]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[9]  Richard W. Hamming,et al.  Error detecting and error correcting codes , 1950 .

[10]  Robert C. Aitken,et al.  On the efficacy of write-assist techniques in low voltage nanoscale SRAMs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[11]  Jiajing Wang,et al.  Analyzing static and dynamic write margin for nanometer SRAMs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[12]  Shuhei Tanakamaru,et al.  Elimination of half select disturb in 8T-SRAM by local injected electron asymmetric pass gate transistor , 2010, IEEE Custom Integrated Circuits Conference 2010.

[13]  Wei Dong,et al.  SRAM dynamic stability: Theory, variability and analysis , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[14]  W. Dehaene,et al.  Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies , 2006, IEEE Journal of Solid-State Circuits.

[15]  J. Draper,et al.  Parallel double error correcting code design to mitigate multi-bit upsets in SRAMs , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[16]  Leland Chang,et al.  A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.

[17]  David Blaauw,et al.  Crosshairs SRAM — An adaptive memory for mitigating parametric failures , 2010, 2010 Proceedings of ESSCIRC.

[18]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .