Buffer sizing for clock power minimization subject to general skew constraints

In this paper, we investigate the problem of buffer sizing for clock power minimization subject to general skew constraints. A novel approach based on sequential linear programming is presented. By taking the first-order Taylor's expansion of clock path delay with respect to buffer widths, the original nonlinear problem is transformed to a sequence of linear programs, which incorporate clock skew scheduling and buffer sizing to minimize clock power dissipation. For each linear program, the sensitivities of clock path delay with respect to buffer widths are efficiently updated by applying time-domain analysis to the clock network in a divide-and-conquer fashion. Our approach can take process variations and power supply noise into account. We demonstrate experimentally that the proposed technique is not only capable of effectively reducing clock power consumption, but also able to provide more accurate delay and skew results compared to the traditional approach.

[1]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[2]  Robert K. Brayton,et al.  Graph algorithms for clock schedule optimization , 1992, ICCAD.

[3]  Rajendran Panda,et al.  Current signature compression for IR-drop analysis , 2000, Proceedings 37th Design Automation Conference.

[4]  Wayne Wei-Ming Dai,et al.  Useful-skew clock routing with gate sizing for low power design , 1996, DAC '96.

[5]  Eby G. Friedman,et al.  Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.

[6]  Qing Zhu,et al.  High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Jason Cong,et al.  Bounded-skew clock and Steiner routing , 1998, TODE.

[8]  Kamran Eshraghian,et al.  Principles of CMOS VLSI Design: A Systems Perspective , 1985 .

[9]  Wayne Wei-Ming Dai,et al.  Buffer insertion and sizing under process variations for low power clock distribution , 1995, DAC '95.

[10]  Ren-Song Tsay,et al.  An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Resve A. Saleh,et al.  Clock skew verification in the presence of IR-drop in the powerdistribution network , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Alessandro Bogliolo,et al.  Clock skew optimization for peak current reduction , 1996 .

[13]  Sachin S. Sapatnekar,et al.  Clock Skew Optimization , 1999 .

[14]  Dimitri P. Bertsekas,et al.  Nonlinear Programming , 1997 .

[15]  R. K. Brayton,et al.  Graph algorithms for clock schedule optimization , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[16]  Wayne Wei-Ming Dai,et al.  Useful-Skew Clock Routing with Gate Sizing for Low Power Design , 1997, J. VLSI Signal Process..

[17]  Cheng-Kok Koh,et al.  UST/DME: a clock tree router for general skew constraints , 2000, TODE.