A 1.65W fully integrated 90nm Bulk CMOS Intrinsic Charge Recycling capacitive DC-DC converter: Design & techniques for high power density

A fully integrated high power density capacitive 2∶1 step-down DC-DC converter is designed in a standard Bulk CMOS technology. The implemented converter can deliver a maximum output power of 1.65W on a chip area of 2.14mm2, resulting in a power conversion density of 0.77W/mm2. Besides the primary goal of high power density a peak power conversion efficiency of 69% is achieved. This for a voltage step-down conversion from twice the nominal supply voltage of a 90nm technology (2Vdd = 2.4V) to 1V. Both the design as the implementation techniques to achieve the resulting power density, are discussed.

[1]  John F. Dickson,et al.  On-Chip High-Voltage Generation in Integrated Circuits Using an Improved Multiplier Technique , 1976 .

[2]  M. S. Makowski,et al.  Performance limits of switched-capacitor DC-DC converters , 1995, Proceedings of PESC '95 - Power Electronics Specialist Conference.

[3]  Michiel Steyaert,et al.  CMOS: a paradigm for low power wireless? , 2002, DAC '02.

[4]  David Blaauw,et al.  The limit of dynamic voltage scaling and insomniac dynamic voltage scaling , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Michiel Steyaert,et al.  A High speed, Low Voltage to High Voltage Level Shifter in Standard 1.2V 0.13μm CMOS , 2006, 2006 13th IEEE International Conference on Electronics, Circuits and Systems.

[6]  Michiel Steyaert,et al.  A high speed, low voltage to high voltage level shifter in standard 1.2 V 0.13 μm CMOS , 2006 .

[7]  R. Harjani,et al.  A High-Efficiency DC–DC Converter Using 2 nH Integrated Inductors , 2008, IEEE Journal of Solid-State Circuits.

[8]  S.R. Sanders,et al.  Analysis and Optimization of Switched-Capacitor DC–DC Converters , 2008, IEEE Transactions on Power Electronics.

[9]  S.R. Sanders,et al.  An ultra-low-power power management IC for energy-scavenged Wireless Sensor Nodes , 2008, 2008 IEEE Power Electronics Specialists Conference.

[10]  Michiel Steyaert,et al.  A 82% efficiency 0.5% ripple 16-phase fully integrated capacitive voltage doubler , 2009, 2009 Symposium on VLSI Circuits.

[11]  Sam Ben-Yaakov,et al.  Algebraic foundation of self adjusting Switched Capacitors Converters , 2009, 2009 IEEE Energy Conversion Congress and Exposition.

[12]  Vincent W Ng,et al.  Minimum PCB footprint point-of-load DC-DC converter realized with Switched-Capacitor architecture , 2009, 2009 IEEE Energy Conversion Congress and Exposition.

[13]  Fatih Hamzaoglu,et al.  Multi-Phase 1 GHz Voltage Doubler Charge Pump in 32 nm Logic Process , 2010, IEEE Journal of Solid-State Circuits.

[14]  Dongsheng Ma,et al.  Monolithic reconfigurable SC power converter with adaptive gain control and on-chip capacitor sizing , 2010, 2010 IEEE Energy Conversion Congress and Exposition.

[15]  R. Dennard,et al.  A fully-integrated switched-capacitor 2∶1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2 , 2010, 2010 Symposium on VLSI Circuits.

[16]  Michiel Steyaert,et al.  A fully integrated gearbox capacitive DC/DC-converter in 90nm CMOS: Optimization, control and measurements , 2010, 2010 IEEE 12th Workshop on Control and Modeling for Power Electronics (COMPEL).

[17]  Michael D. Seeman,et al.  A 32nm fully integrated reconfigurable switched-capacitor DC-DC converter delivering 0.55W/mm2 at 81% efficiency , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[18]  M Wens,et al.  A Fully Integrated CMOS 800-mW Four-Phase Semiconstant ON/OFF-Time Step-Down Converter , 2011, IEEE Transactions on Power Electronics.

[19]  Michiel Steyaert,et al.  Monolithic Capacitive DC-DC Converter With Single Boundary–Multiphase Control and Voltage Domain Stacking in 90 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.

[20]  Shmuel Ben-Yaakov On the Influence of Switch Resistances on Switched-Capacitor Converter Losses , 2012, IEEE Transactions on Industrial Electronics.