Automatic Core Specialization for AVX-512 Applications
暂无分享,去创建一个
[1] Karsten Schwan,et al. Region scheduling: efficiently using the cache architectures via page-level affinity , 2012, ASPLOS XVII.
[2] Christian Bienia,et al. Benchmarking modern multiprocessors , 2011 .
[3] Kevin Skadron,et al. Scaling with Design Constraints: Predicting the Future of Big Chips , 2011, IEEE Micro.
[4] Ahmad Yasin,et al. A Top-Down method for performance analysis and counters architecture , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[5] Michael Bedford Taylor,et al. Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse , 2012, DAC Design Automation Conference 2012.
[6] Srinivas Katkoori,et al. A Framework for Power-Gating Functional Units in Embedded Microprocessors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] Naehyuck Chang,et al. Accurate Modeling of the Delay and Energy Overhead of Dynamic Voltage and Frequency Scaling in Modern Microprocessors , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] Mathias Gottschlag,et al. Dim Silicon and the Case for Improved DVFS Policies , 2020, ArXiv.
[9] No License,et al. Intel ® 64 and IA-32 Architectures Software Developer ’ s Manual Volume 3 A : System Programming Guide , Part 1 , 2006 .
[10] Antonio González,et al. Efficient Power Gating of SIMD Accelerators Through Dynamic Selective Devectorization in an HW/SW Codesigned Environment , 2014, ACM Trans. Archit. Code Optim..
[11] Thomas Ilsche,et al. Energy Efficiency Features of the Intel Skylake-SP Processor and Their Impact on Performance , 2019, 2019 International Conference on High Performance Computing & Simulation (HPCS).
[12] Christoforos E. Kozyrakis,et al. Usenix Association 10th Usenix Symposium on Operating Systems Design and Implementation (osdi '12) 335 Dune: Safe User-level Access to Privileged Cpu Features , 2022 .
[13] Efraim Rotem,et al. Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge , 2012, IEEE Micro.
[14] Michael Stumm,et al. FlexSC: Flexible System Call Scheduling with Exception-Less System Calls , 2010, OSDI.
[15] Tong Li,et al. Operating system support for overlapping-ISA heterogeneous multi-core architectures , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.