Mitigation: Tamper-Mitigating Routing Fabrics

Intuitively, a biochip designed for a single function is physically unable to realize an undesired operation. On the other hand, a reconfigurable biochip could be configured in a way that is not only undesirable, but potentially destructive. This chapter introduces the concept of a tamper-mitigating routing fabric, which is a reconfigurable biochip technology that is designed in such a way that the effects of control signal tampering are probabilistically less severe or controlled. Both routing fabric analysis and synthesis techniques are developed and then applied to a DNA barcoding application.

[1]  Philip Brisk,et al.  Recent developments in microfluidic large scale integration. , 2014, Current opinion in biotechnology.

[2]  Thomas M. Cover,et al.  Elements of Information Theory , 2005 .

[3]  Charles Clos,et al.  A study of non-blocking switching networks , 1953 .

[4]  Eli Biham,et al.  Differential Fault Analysis of Secret Key Cryptosystems , 1997, CRYPTO.

[5]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[6]  M. Mesbahi State-dependent graphs , 2003, 42nd IEEE International Conference on Decision and Control (IEEE Cat. No.03CH37475).

[7]  Yan Luo,et al.  Design of Pin-Constrained General-Purpose Digital Microfluidic Biochips , 2013, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Mohamed Ibrahim,et al.  Security Implications of Cyberphysical Flow-Based Microfluidic Biochips , 2017, 2017 IEEE 26th Asian Test Symposium (ATS).

[9]  Shuichi Takayama,et al.  Microfluidic automation using elastomeric valves and droplets: reducing reliance on external controllers. , 2012, Small.

[10]  Mauro Conti,et al.  Breaking Fitness Records Without Moving: Reverse Engineering and Spoofing Fitbit , 2017, RAID.

[11]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[12]  Mohamed Ibrahim,et al.  Security Trade-Offs in Microfluidic Routing Fabrics , 2017, 2017 IEEE International Conference on Computer Design (ICCD).

[13]  Nagisa Ishiura,et al.  Shared binary decision diagram with attributed edges for efficient Boolean function manipulation , 1990, 27th ACM/IEEE Design Automation Conference.

[14]  Mohamed Ibrahim,et al.  CoSyn: Efficient single-cell analysis using a hybrid microfluidic platform , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[15]  G J Kost,et al.  Preventing medical errors in point-of-care testing: security, validation, safeguards, and connectivity.. , 2001, Archives of pathology & laboratory medicine.

[16]  Alessandro Barenghi,et al.  Fault Injection Attacks on Cryptographic Devices: Theory, Practice, and Countermeasures , 2012, Proceedings of the IEEE.

[17]  Shashi K Murthy,et al.  Microfluidic Sample Preparation for Single Cell Analysis. , 2016, Analytical chemistry.

[18]  Mark A Burns,et al.  Microfluidic pneumatic logic circuits and digital pneumatic microprocessors for integrated microfluidic systems. , 2009, Lab on a chip.

[19]  Mohamed Ibrahim,et al.  Fault-tolerant valve-based microfluidic routing fabric for droplet barcoding in single-cell analysis , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[20]  Enrico Macii,et al.  Algebric Decision Diagrams and Their Applications , 1997, ICCAD '93.

[21]  Liudong Xing,et al.  Binary Decision Diagrams and Extensions for System Reliability Analysis: Xing/Binary , 2015 .

[22]  Dennis G. Abraham,et al.  Transaction Security System , 1991, IBM Syst. J..

[23]  K. Jensen,et al.  Cells on chips , 2006, Nature.

[24]  Philip N Duncan,et al.  Scaling of pneumatic digital logic circuits. , 2015, Lab on a chip.

[25]  James P Landers,et al.  Forensic DNA Analysis on Microfluidic Devices: A Review , 2007, Journal of forensic sciences.

[26]  Mohamed Ibrahim,et al.  Synthesis of Cyberphysical Digital-Microfluidic Biochips for Real-Time Quantitative Analysis , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Allon M. Klein,et al.  Droplet Barcoding for Single-Cell Transcriptomics Applied to Embryonic Stem Cells , 2015, Cell.

[28]  Mohamed Ibrahim,et al.  Sortex: Efficient timing-driven synthesis of reconfigurable flow-based biochips for scalable single-cell screening , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[29]  Farinaz Koushanfar,et al.  BioChipWork: Reverse Engineering of Microfluidic Biochips , 2017, 2017 IEEE International Conference on Computer Design (ICCD).

[30]  Judea Pearl,et al.  Probabilistic reasoning in intelligent systems - networks of plausible inference , 1991, Morgan Kaufmann series in representation and reasoning.

[31]  David Naccache,et al.  The Sorcerer's Apprentice Guide to Fault Attacks , 2006, Proceedings of the IEEE.

[32]  Shuichi Takayama,et al.  Next-generation integrated microfluidic circuits. , 2011, Lab on a chip.

[33]  Swapnil Bhatia,et al.  A reconfigurable continuous-flow fluidic routing fabric using a modular, scalable primitive. , 2016, Lab on a chip.