EDXY - A low cost congestion-aware routing algorithm for network-on-chips
暂无分享,去创建一个
Masoud Daneshtalab | Ali Afzali-Kusha | Amir-Mohammad Rahmani | Zainalabedin Navabi | Pejman Lotfi-Kamran
[1] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[2] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[3] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[4] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[5] Ming Li,et al. DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[6] Dimitri P. Bertsekas,et al. Data Networks , 1986 .
[7] Radu Marculescu,et al. On-Chip Stochastic Communication , 2003, DATE.
[8] ChiuGe-Ming. The Odd-Even Turn Model for Adaptive Routing , 2000 .
[9] Lionel M. Ni,et al. A survey of wormhole routing techniques in direct networks , 1993, Computer.
[10] Simha Sethumadhavan,et al. Distributed Microarchitectural Protocols in the TRIPS Prototype Processor , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[11] Stephen W. Keckler,et al. Regional congestion awareness for load balance in networks-on-chip , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[12] Petru Eles,et al. System-Level Design Techniques for Energy-Efficient Embedded Systems , 2003, Springer US.
[13] Uriel Feige,et al. Exact analysis of hot-potato routing , 1992, Proceedings., 33rd Annual Symposium on Foundations of Computer Science.
[14] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[15] Axel Jantsch,et al. Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[16] Chita R. Das,et al. A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[17] Xiaola Lin,et al. Multicast Communication in Multicomputer Networks , 1993, ICPP.
[18] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[19] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[20] Bashir M. Al-Hashimi,et al. Improving routing efficiency for network-on-chip through contention-aware input selection , 2006, Asia and South Pacific Conference on Design Automation, 2006..