QNoC asynchronous router

An asynchronous router for quality-of-service Networks on Chip (QNoC) is presented. It combines multiple service levels (SL) with multiple equal-priority virtual channels (VC) within each SL. VCs are assigned dynamically per packet in each router. The router employs fast arbitration schemes to minimize latency. Analytical expressions for a generic NoC router performance, area and power are derived, showing linear dependence on the number of buffers and flit width. The analytical results agree with QNoC router simulation results. The QNoC router architecture and specific asynchronous circuits are presented. When simulated on a 0.18@mm process, the router throughput ranges from 1.8 to 20Gbps for flits 8-128 bits wide.

[1]  Fabien Clermidy,et al.  An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[2]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[3]  Ivan E. Sutherland,et al.  Micropipelines , 1989, Commun. ACM.

[4]  Ran Ginosar,et al.  Fast asynchronous shift register for bit-serial communication , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[5]  Stephen B. Furber,et al.  An asynchronous on-chip network router with quality-of-service (QoS) support , 2004, IEEE International SOC Conference, 2004. Proceedings..

[6]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[7]  Ran Ginosar,et al.  QoS architecture and design process for cost effective Network on Chip , 2004 .

[8]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[9]  Alain Greiner,et al.  A Low Cost Network-on-Chip with Guaranteed Service Well Suited to the GALS Approach , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[10]  Axel Jantsch,et al.  Networks on chip , 2003 .

[11]  Axel Jantsch,et al.  Network on Chip : An architecture for billion transistor era , 2000 .

[12]  Sharad Malik,et al.  Power-driven Design of Router Microarchitectures in On-chip Networks , 2003, MICRO.

[13]  Karam S. Chatha,et al.  A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[14]  Alexandre Yakovlev,et al.  Priority arbiters , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[15]  Andrew Lines Nexus: an asynchronous crossbar interconnect for synchronous system-on-chip designs , 2003, 11th Symposium on High Performance Interconnects, 2003. Proceedings..

[16]  Edith Beigné,et al.  Design of on-chip and off-chip interfaces for a GALS NoC architecture , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[17]  Axel Jantsch,et al.  Special issue on networks on chip , 2004, J. Syst. Archit..

[18]  Ran Ginosar,et al.  Cost considerations in network on chip , 2004, Integr..

[19]  Luciano Lavagno,et al.  Petrify: A Tool for Manipulating Concurrent Specifications and Synthesis of Asynchronous Controllers (Special Issue on Asynchronous Circuit and System Design) , 1997 .

[20]  Simon W. Moore,et al.  The design and implementation of a low-latency on-chip network , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[21]  R. Mullins Minimising Dynamic Power Consumption in On-Chip Networks , 2006, 2006 International Symposium on System-on-Chip.

[22]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[23]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[24]  E. Rijpkema,et al.  Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[25]  C. van Berkel,et al.  Beware the three-way arbiter , 1999 .

[26]  Paul Wielage,et al.  Clock synchronization through handshake signalling , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

[27]  Simon W. Moore,et al.  Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[28]  Kees Goossens,et al.  Concepts and Implementation of the Philips Network-on-Chip , 2003 .

[29]  I.M. Nedelchev,et al.  Basic building blocks for asynchronous packet routers , 1994, Proceedings of 4th Great Lakes Symposium on VLSI.

[30]  Luis A. Plana,et al.  The design and test of a smartcard chip using a CHAIN self-timed network-on-chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[31]  Jens Sparsø,et al.  Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[32]  T. Bjerregaard,et al.  Virtual channel designs for guaranteeing bandwidth in asynchronous network-on-chip , 2004, Proceedings Norchip Conference, 2004..

[33]  Ran Ginosar,et al.  An asynchronous router for multiple service levels networks on chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[34]  Peter Robinson,et al.  Self calibrating clocks for globally asynchronous locally synchronous systems , 2000, Proceedings 2000 International Conference on Computer Design.

[35]  William J. Dally,et al.  A VLSI Architecture for Concurrent Data Structures , 1987 .

[36]  Sharad Malik,et al.  Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[37]  Peter Robinson,et al.  Point to point GALS interconnect , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

[38]  Arnab Banerjee,et al.  A Power and Energy Exploration of Network-on-Chip Architectures , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[39]  Kees G. W. Goossens,et al.  Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip , 2003, DATE.

[40]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[41]  Ran Ginosar,et al.  Link Division Multiplexing (LDM) for Network-on-Chip Links , 2006, 2006 IEEE 24th Convention of Electrical & Electronics Engineers in Israel.

[42]  Stephen B. Furber,et al.  Chain: A Delay-Insensitive Chip Area Interconnect , 2002, IEEE Micro.

[43]  T. Felicijan,et al.  An asynchronous low latency arbiter for Quality of Service (QoS) applications , 2003, Proceedings of the 12th IEEE International Conference on Fuzzy Systems (Cat. No.03CH37442).

[44]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[45]  Ran Ginosar,et al.  High Rate Data Synchronization in GALS SoCs , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[46]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[47]  Chita R. Das,et al.  ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[48]  William J. Dally,et al.  A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[49]  Ran Ginosar,et al.  Network Delays and Link Capacities in Application-Specific Wormhole NoCs , 2007, VLSI Design.

[50]  Wolfgang Fichtner,et al.  Practical design of globally-asynchronous locally-synchronous systems , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[51]  Ran Ginosar,et al.  High Rate Wave-pipelined Asynchronous On-chip Bit-serial Data Link , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[52]  Karam S. Chatha,et al.  Quality-of-service and error control techniques for network-on-chip architectures , 2004, GLSVLSI '04.

[53]  Wolfgang Fichtner,et al.  Self-timed ring for globally-asynchronous locally-synchronous systems , 2003, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings..