Compiler Optimizing for Power Efficiency of On-Chip Memory

[1]  Wei Zhang,et al.  Compiler support for reducing leakage energy consumption , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[2]  Zhang Mingming,et al.  Reducing cache energy consumption by tag encoding in embedded processors , 2007, ISLPED 2007.

[3]  Jenq Kuen Lee,et al.  Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors , 2002, LCPC.

[4]  Jenq Kuen Lee,et al.  Compilation for compact power-gating controls , 2007, TODE.

[5]  Carla E. Brodley,et al.  Heat stroke: power-density-based denial of service in SMT , 2005, 11th International Symposium on High-Performance Computer Architecture.

[6]  Li Fan,et al.  Summary cache: a scalable wide-area web cache sharing protocol , 2000, TNET.

[7]  Zhen Fang,et al.  Reducing L1 caches power by exploiting software semantics , 2012, ISLPED '12.

[8]  Alexander V. Veidenbaum,et al.  Power-Aware Compilation for Register File Energy Reduction , 2004, International Journal of Parallel Programming.

[9]  William H. Mangione-Smith,et al.  The filter cache: an energy efficient memory structure , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[10]  Anderson Faustino da Silva,et al.  Compiling for performance and power efficiency , 2013, 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).

[11]  Richard A. Huff,et al.  Lifetime-sensitive modulo scheduling , 1993, PLDI '93.

[12]  Eby G. Friedman,et al.  Managing static leakage energy in microprocessor functional units , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[13]  Kaushik Roy,et al.  Reducing set-associative cache energy via way-prediction and selective direct-mapping , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

[14]  Santosh Pande,et al.  Optimizing Static Power Dissipation by Functional Units in Superscalar Processors , 2002, CC.

[15]  Jihong Kim,et al.  Power-aware modulo scheduling for high-performance VLIW processors , 2001, ISLPED '01.

[16]  Hsien-Hsin S. Lee,et al.  Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches , 2009, ISLPED.

[17]  Jenq Kuen Lee,et al.  Compilers for leakage power reduction , 2006, TODE.

[18]  Chenjie Yu,et al.  Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[19]  Alexandre E. Eichenberger,et al.  Stage scheduling: a technique to reduce the register requirements of a module schedule , 1995, MICRO 1995.

[20]  Glenn Reinman,et al.  Just say no: benefits of early cache miss determination , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[21]  Ibrahim Farag,et al.  Performance vs. Power and Energy Consumption: Impact of Coding Style and Compiler , 2017 .

[22]  Wann-Yun Shieh,et al.  Power-aware register assignment for large register file design , 2011, The Journal of Supercomputing.

[23]  Michael F. P. O'Boyle,et al.  Instruction Cache Energy Saving Through Compiler Way-Placement , 2008, 2008 Design, Automation and Test in Europe.

[24]  Guang R. Gao,et al.  Exploiting Schedule Slacks for Rate-Optimal Power-Minimum Software Pipelining , 2002 .

[25]  Kathy Yelick Compiling to avoid communication , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[26]  Aviral Shrivastava,et al.  Bypass aware instruction scheduling for register file power reduction , 2006 .