An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring

Full-chip thermal monitoring is an important and challenging issue in today's microprocessor design. In this paper, we propose a new information-theoretic framework to quantitatively model the uncertainty of on-chip temperature variation by differential entropy. Based on this framework, an efficient optimization scheme is developed to find the optimal spatial locations for temperature sensors such that the full-chip thermal map can be accurately captured with a minimum number of on-chip sensors. In addition, several efficient numerical algorithms are proposed to minimize the computational cost of the proposed entropy calculation and optimization. As will be demonstrated by our experimental examples, the proposed entropy-based method achieves superior accuracy (1.4× error reduction) for full-chip thermal monitoring over prior art.

[1]  Tajana Simunic,et al.  Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[3]  Sherief Reda,et al.  Spectral techniques for high-resolution thermal characterization with limited sensor data , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[4]  Yufu Zhang,et al.  Statistical Framework for Designing On-Chip Thermal Sensing Infrastructure in Nanoscale Systems , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Shahin Nazarian,et al.  Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.

[6]  Yufu Zhang,et al.  Accurate temperature estimation using noisy thermal sensors , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[7]  Chen-Yong Cher,et al.  Exploring the effects of on-chip thermal variation on high-performance multicore architectures , 2011, TACO.

[8]  Jörg Henkel,et al.  TAPE: Thermal-aware agent-based power econom multi/many-core architectures , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[9]  Radford M. Neal Pattern Recognition and Machine Learning , 2007, Technometrics.

[10]  M. Zahran,et al.  Chip level thermal profile estimation using on-chip temperature sensors , 2008, 2008 IEEE International Conference on Computer Design.

[11]  Alan J. Weger,et al.  Thermal-aware task scheduling at the system software level , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[12]  Seda Ogrenci Memik,et al.  Optimizing Thermal Sensor Allocation for Microprocessors , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Jörg Henkel,et al.  TAPE: thermal-aware agent-based power economy for multi/many-core architectures , 2009, ICCAD '09.

[14]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[15]  Sherief Reda,et al.  Thermal monitoring of real processors: Techniques for sensor allocation and full characterization , 2010, Design Automation Conference.

[16]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[17]  E. Cohen,et al.  Hotspot-Limited Microprocessors: Direct Temperature and Power Distribution Measurements , 2007, IEEE Journal of Solid-State Circuits.

[18]  Kai Ma,et al.  Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.

[19]  Charles R. Johnson,et al.  Matrix analysis , 1985, Statistical Inference for Engineers and Data Scientists.

[20]  Giovanni De Micheli,et al.  Temperature sensor placement in thermal management systems for MPSoCs , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[21]  Rob A. Rutenbar,et al.  Bayesian Virtual Probe: Minimizing variation characterization cost for nanoscale IC technologies via Bayesian inference , 2010, Design Automation Conference.