A Gaussian Mixture Model Clustering Ensemble Regressor for Semiconductor Manufacturing Final Test Yield Prediction

In the semiconductor industry, many studies have been carried out for front-end related process improvement and yield prediction using machine learning techniques. However, very few research investigations have dealt with the backend Final Test (FT) yield prediction using the front-end wafer acceptance test (WAT) parameters. The manufacturing cycle time between wafer fabrication (WF) and FT can range anywhere between a few weeks to several months. It is therefore important for semiconductor manufacturers to detect wafer material related low yield problems at an earlier stage for effective cost and quality control. This is a challenging goal as the input data used for prediction is at a very early manufacturing stage and the output FT yield for packaged chips is the last stage of the fabrication chain. There are many unknown production variations caused by different manufacturing processes, equipment configurations and human interferences in this multi-stage sequential fabrication chain. In this paper, we proposed a novel procedure to predict the backend FT yield at the WF stage itself using a Gaussian Mixture Models (GMM) clustering approach that is applied to build a weighted ensemble regressor. Real production data for new chip product lines are verified with this method and show significant improvement in the prediction performance.

[1]  Alípio Mário Jorge,et al.  Ensemble approaches for regression: A survey , 2012, CSUR.

[2]  Chang Ouk Kim,et al.  A Convolutional Neural Network for Fault Classification and Diagnosis in Semiconductor Manufacturing Processes , 2017, IEEE Transactions on Semiconductor Manufacturing.

[3]  Jie Zhang,et al.  Fuzzy neural network based yield prediction model for semiconductor manufacturing system , 2010 .

[4]  Chen-Fu Chien,et al.  Analysing semiconductor manufacturing big data for root cause detection of excursion for yield enhancement , 2017, Int. J. Prod. Res..

[5]  Joydeep Ghosh,et al.  Cluster Ensembles --- A Knowledge Reuse Framework for Combining Multiple Partitions , 2002, J. Mach. Learn. Res..

[6]  Toly Chen Embedding a back propagation network into fuzzy c-means for estimating job cycle time: wafer fabrication as an example , 2016, J. Ambient Intell. Humaniz. Comput..

[7]  Jie Zhang,et al.  Big data analytics for cycle time related feature selection in the semiconductor wafer fabrication system , 2020, Comput. Ind. Eng..

[8]  Dong Ni,et al.  A practical yield prediction approach using inline defect metrology data for system-on-chip integrated circuits , 2017, 2017 13th IEEE Conference on Automation Science and Engineering (CASE).

[9]  Jee-Hyong Lee,et al.  A wafer map yield model based on deep learning for wafer productivity enhancement , 2018, 2018 29th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC).

[10]  Shi-Chung Chang,et al.  SHEWMA: an end-of-line SPC scheme using wafer acceptance test data , 2000 .

[11]  Andi Buzo,et al.  An accurate yield estimation approach for multivariate non-normal data in semiconductor quality analysis , 2017, 2017 14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD).

[12]  Sungzoon Cho,et al.  Using Wafer Map Features to Better Predict Die-Level Failures in Final Test , 2015, IEEE Transactions on Semiconductor Manufacturing.

[13]  Toly Chen,et al.  Job cycle time estimation in a wafer fabrication factory with a bi-directional classifying fuzzy-neural approach , 2011 .

[14]  Ryohei Orihara,et al.  A Comprehensive Big-Data-Based Monitoring System for Yield Enhancement in Semiconductor Manufacturing , 2017, IEEE Transactions on Semiconductor Manufacturing.

[15]  Junliang Wang,et al.  A Data Driven Cycle Time Prediction With Feature Selection in a Semiconductor Wafer Fabrication System , 2018, IEEE Transactions on Semiconductor Manufacturing.

[16]  Sirish L. Shah,et al.  Fault detection and diagnosis in process data using one-class support vector machines , 2009 .

[17]  Gad Rabinowitz,et al.  Cycle-Time Key Factor Identification and Prediction in Semiconductor Manufacturing Using Machine Learning and Data Mining , 2011, IEEE Transactions on Semiconductor Manufacturing.

[18]  R. Suganya,et al.  Fuzzy C- Means Algorithm- A Review , 2012 .

[19]  Giuseppe De Nicolao,et al.  Multilevel Lasso applied to Virtual Metrology in semiconductor manufacturing , 2011, 2011 IEEE International Conference on Automation Science and Engineering.

[20]  Madhu Nashipudimath,et al.  Comparative Analysis Of Fuzzy Clustering Algorithms In Data Mining , 2012 .

[21]  Muhammad Saqlain,et al.  A Voting Ensemble Classifier for Wafer Map Defect Patterns Identification in Semiconductor Manufacturing , 2019, IEEE Transactions on Semiconductor Manufacturing.

[22]  Andy Liaw,et al.  Classification and Regression by randomForest , 2007 .

[23]  Toly Chen A PCA-FBPN Approach for Job Cycle Time Estimation in a Wafer Fabrication Factory , 2012, Int. J. Fuzzy Syst. Appl..

[24]  Tao Yuan,et al.  Yield Prediction for Integrated Circuits Manufacturing Through Hierarchical Bayesian Modeling of Spatial Defects , 2011, IEEE Transactions on Reliability.

[25]  Chi-Hyuck Jun,et al.  Variable Selection Under Missing Values and Unlabeled Data in Semiconductor Processes , 2019, IEEE Transactions on Semiconductor Manufacturing.

[26]  Bernd Barak,et al.  Data Mining and Support Vector Regression Machine Learning in Semiconductor Manufacturing to Improve Virtual Metrology , 2013, 2013 46th Hawaii International Conference on System Sciences.

[27]  Balázs Kégl,et al.  The return of AdaBoost.MH: multi-class Hamming trees , 2013, ICLR.

[28]  Ponani S. Gopalakrishnan,et al.  Clustering via the Bayesian information criterion with applications in speech recognition , 1998, Proceedings of the 1998 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP '98 (Cat. No.98CH36181).

[29]  Yoshua Bengio,et al.  Random Search for Hyper-Parameter Optimization , 2012, J. Mach. Learn. Res..

[30]  Cheong-Sool Park,et al.  Data Mining Approaches for Packaging Yield Prediction in the Post-fabrication Process , 2013, 2013 IEEE International Congress on Big Data.

[31]  Ron Kohavi,et al.  A Study of Cross-Validation and Bootstrap for Accuracy Estimation and Model Selection , 1995, IJCAI.

[32]  Jie Zhang,et al.  Hybrid Feature Selection for Wafer Acceptance Test Parameters in Semiconductor Manufacturing , 2020, IEEE Access.

[33]  Kuang-Ku Chen,et al.  Integrating support vector machine and genetic algorithm to implement dynamic wafer quality prediction system , 2010, Expert Syst. Appl..

[34]  Jong-Seong Kim,et al.  A Wafer Map Yield Prediction Based on Machine Learning for Productivity Enhancement , 2019, IEEE Transactions on Semiconductor Manufacturing.