On the Optimization of Behavioral Logic Locking for High-Level Synthesis

The globalization of the electronics supply chain is requiring effective methods to thwart reverse engineering and IP theft. Logic locking is a promising solution but there are still several open concerns. Even when applied at high level of abstraction, logic locking leads to large overhead without guaranteeing that the obfuscation metric is actually maximized. We propose a framework to optimize the use of behavioral logic locking for a given security metric. We explore how to apply behavioral logic locking techniques during the HLS of IP cores. Operating on the chip behavior, our method is compatible with commercial HLS tools, complementing existing industrial design flows. We offer a framework where the designer can implement different meta-heuristics to explore the design space and select where to apply logic locking. Our methods optimizes a given security metric better than complete obfuscation, allows us to 1) obtain better protection, 2) reduce the obfuscation cost.

[1]  Swarup Bhunia,et al.  RTL Hardware IP Protection Using Key-Based Control and Data Flow Obfuscation , 2010, 2010 23rd International Conference on VLSI Design.

[2]  Ozgur Sinanoglu,et al.  Rethinking split manufacturing: An information-theoretic approach with secure layout techniques , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[3]  Gu-Yeon Wei,et al.  MachSuite: Benchmarks for accelerator design and customized architectures , 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

[4]  Fabrizio Ferrandi,et al.  Bambu: A modular framework for the high level synthesis of memory-intensive applications , 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

[5]  Ozgur Sinanoglu,et al.  Obfuscating the interconnects: Low-cost and resilient full-chip layout camouflaging , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Jim Torresen,et al.  An Evolvable Hardware Tutorial , 2004, FPL.

[7]  Amr T. Abdel-Hamid,et al.  A Survey on IP Watermarking Techniques , 2004, Des. Autom. Embed. Syst..

[8]  Jean-Christophe Le Lann,et al.  Transient Key-based Obfuscation for HLS in an Untrusted Cloud Environment , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[9]  Jeyavijayan Rajendran,et al.  Is split manufacturing secure? , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[10]  Ramesh Karri,et al.  TAO: Techniques for Algorithm-Level Obfuscation during High-Level Synthesis , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[11]  Bo Hu,et al.  Design Obfuscation through Selective Post-Fabrication Transistor-Level Programming , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[12]  Meng Li,et al.  Circuit Obfuscation and Oracle-guided Attacks: Who can Prevail? , 2017, ACM Great Lakes Symposium on VLSI.

[13]  Ramesh Karri,et al.  Is Register Transfer Level Locking Secure? , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[14]  David E. Goldberg,et al.  Genetic Algorithms in Search Optimization and Machine Learning , 1988 .

[15]  Ramesh Karri,et al.  ASSURE: RTL Locking Against an Untrusted Foundry , 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Ramesh Karri,et al.  On Improving the Security of Logic Locking , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Jarrod A. Roy,et al.  EPIC: Ending Piracy of Integrated Circuits , 2008, 2008 Design, Automation and Test in Europe.

[18]  Waleed Khalil,et al.  Defense-in-Depth: A Recipe for Logic Locking to Prevail , 2019, Integr..

[19]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[20]  Pier Luca Lanzi,et al.  Ant Colony Heuristic for Mapping and Scheduling Tasks and Communications on Heterogeneous Embedded Systems , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Mark Mohammad Tehranipoor,et al.  Development and Evaluation of Hardware Obfuscation Benchmarks , 2018, Journal of Hardware and Systems Security.

[22]  Mark Horowitz,et al.  1.1 Computing's energy problem (and what we can do about it) , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[23]  Ujjwal Guin,et al.  Counterfeit Integrated Circuits: Detection and Avoidance , 2015 .

[24]  Hiroyuki Tomiyama,et al.  Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis , 2009, J. Inf. Process..

[25]  Vito Giovanni Castellana,et al.  Invited: Bambu: an Open-Source Research Framework for the High-Level Synthesis of Complex Applications , 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

[26]  Ramesh Karri,et al.  TaintHLS: High-Level Synthesis for Dynamic Information Flow Tracking , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Benjamin Carrión Schäfer,et al.  Efficient behavioral intellectual properties source code obfuscation for high-level synthesis , 2017, 2017 18th IEEE Latin American Test Symposium (LATS).

[28]  Jeyavijayan Rajendran,et al.  Belling the CAD: Toward Security-Centric Electronic System Design , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Ramesh Karri,et al.  Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks , 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

[30]  Yu Ting Chen,et al.  A Survey and Evaluation of FPGA High-Level Synthesis Tools , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Jeyavijayan Rajendran,et al.  Keynote: A Disquisition on Logic Locking , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  Mark Mohammad Tehranipoor,et al.  Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain , 2014, Proceedings of the IEEE.

[33]  Farinaz Koushanfar,et al.  Provably Secure Active IC Metering Techniques for Piracy Avoidance and Digital Rights Management , 2012, IEEE Transactions on Information Forensics and Security.

[34]  Jeyavijayan Rajendran,et al.  Security analysis of logic obfuscation , 2012, DAC Design Automation Conference 2012.

[35]  LiMeng,et al.  IP Protection and Supply Chain Security through Logic Obfuscation , 2019 .

[36]  Ozgur Sinanoglu,et al.  Customized Locking of IP Blocks on a Multi-Million-Gate SoC , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[37]  Jeorge S. Hurtarte,et al.  Understanding Fabless IC Technology , 2007 .

[38]  Ramesh Karri,et al.  Securing Hardware Accelerators: A New Challenge for High-Level Synthesis , 2018, IEEE Embedded Systems Letters.

[39]  Marc Parizeau,et al.  DEAP: evolutionary algorithms made easy , 2012, J. Mach. Learn. Res..

[40]  Ronald P. Cocchi,et al.  Circuit camouflage integration for hardware IP protection , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).