TRIAD: A triple patterning lithography aware detailed router

TPL-friendly detailed routers require a systematic approach to detect TPL conflicts. However, the complexity of conflict graph (CG) impedes directly detecting TPL conflicts in CG. This work proposes a token graph-embedded conflict graph (TECG) to facilitate the TPL conflict detection while maintaining high coloring-flexibility. We then develop a TPL aware detailed router (TRIAD) by applying TECG to a gridless router with the TPL stitch generation. Compared to a greedy coloring approach, experimental results indicate that TRIAD generates no conflicts and few stitches with shorter wirelength at the cost of 2.41× of runtime.

[1]  Yih-Lang Li,et al.  Double patterning lithography aware gridless detailed routing with innovative conflict graph , 2010, Design Automation Conference.

[2]  Yih-Lang Li,et al.  A gridless routing system with nonslicing floorplanning-based crosstalk reduction on gridless track assignment , 2011, TODE.

[3]  Jason Cong,et al.  DUNE-a multilayer gridless routing system , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Yih-Lang Li,et al.  Non-slicing floorplanning-based crosstalk reduction on gridless track assignment for a gridless routing system with fast pseudo-tile extraction , 2008, ISPD '08.

[5]  Jason Cong,et al.  MARS-a multilevel full-chip gridless routing system , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  David Z. Pan,et al.  Double patterning technology friendly detailed routing , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[7]  Hsin-Yu Chen,et al.  NEMO: A New Implicit-Connection-Graph-Based Gridless Router With Multilayer Planes and Pseudo Tile Propagation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Andrew B. Kahng,et al.  Layout Decomposition Approaches for Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  A.B. Kahng,et al.  Key directions and a roadmap for electrical design for manufacturability , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.

[11]  Bei Yu,et al.  Implications of triple patterning for 14nm node design and patterning , 2012, Advanced Lithography.

[12]  Xin Gao,et al.  Enhancing double-patterning detailed routing with lazy coloring and within-path conflict avoidance , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[13]  B KahngAndrew,et al.  Layout decomposition approaches for double patterning lithography , 2010 .

[14]  Yuelin Du,et al.  Hybrid lithography optimization with E-Beam and immersion processes for 16nm 1D gridded design , 2012, 17th Asia and South Pacific Design Automation Conference.

[15]  Kun Yuan,et al.  WISDOM: Wire spreading enhanced decomposition of masks in Double Patterning Lithography , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[16]  Christopher Cork,et al.  Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns , 2008, Photomask Japan.

[17]  Ping Xu,et al.  Innovative self-aligned triple patterning for 1x half pitch using single "spacer deposition-spacer etch" step , 2011, Advanced Lithography.

[18]  Alessandro De Gloria,et al.  A Tile-Expansion Router , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Ping Xu,et al.  Self-aligned triple patterning for continuous IC scaling to half-pitch 15nm , 2011, Advanced Lithography.