Adaptive Dynamic Frequency Scaling for Thermal-Aware 3D Multi-core Processors
暂无分享,去创建一个
[1] Jason Cong,et al. Thermal-Aware 3D IC Placement Via Transformation , 2007, 2007 Asia and South Pacific Design Automation Conference.
[2] Gabriel H. Loh,et al. Implementing caches in a 3D technology for high performance processors , 2005, 2005 International Conference on Computer Design.
[3] Li Shang,et al. Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[5] Ming Li,et al. Visiting Power Laws in Cyber-Physical Networking Systems , 2012 .
[6] Jun Yang,et al. Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.
[7] Rami G. Melhem,et al. Energy aware scheduling for distributed real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[8] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[9] Jeong-A Lee,et al. Thermal Analysis for 3D Multi-core Processors with Dynamic Frequency Scaling , 2010, 2010 IEEE/ACIS 9th International Conference on Computer and Information Science.
[10] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[11] Cheol Hong Kim,et al. The impact of liquid cooling on 3D multi-core processors , 2009, 2009 IEEE International Conference on Computer Design.
[12] Gabriel H. Loh,et al. Dynamic instruction schedulers in a 3-dimensional integration technology , 2006, GLSVLSI '06.
[13] Gabriel H. Loh,et al. Thermal analysis of a 3D die-stacked high-performance microprocessor , 2006, GLSVLSI '06.
[14] John L. Henning. SPEC CPU2000: Measuring CPU Performance in the New Millennium , 2000, Computer.
[15] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[16] Li Shang,et al. System-Level Dynamic Thermal Management for High-Performance Microprocessors , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] Richard E. Kessler,et al. The Alpha 21264 microprocessor , 1999, IEEE Micro.
[18] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[19] K. Takahashi,et al. Through Silicon Via and 3-D Wafer/Chip Stacking Technology , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[20] Lei Jiang,et al. Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[21] J.A. Davis,et al. A three-dimensional stochastic wire-length distribution for variable separation of strata , 2000, Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407).
[22] Yusuf Leblebici,et al. Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[23] Ming Li,et al. Asymptotic Identity in Min-Plus Algebra: A Report on CPNS , 2011, Comput. Math. Methods Medicine.
[24] P. Reed,et al. Design aspects of a microprocessor data cache using 3D die interconnect technology , 2005, 2005 International Conference on Integrated Circuit Design and Technology, 2005. ICICDT 2005..
[25] Kevin Skadron,et al. Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.
[26] Yufu Zhang,et al. Dynamic thermal management for single and multicore processors under soft thermal constraints , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).