Application-driven dynamic bandwidth allocation for two-layer network-on-chip design

Display Omitted A table-based algorithm is proposed for identifying the heavy-load flows at runtime.A reconfigurable network is used in the proposed NoC for setting up P2P connections.P2P connections can be dynamically constructed according to the network traffic.An application-driven dynamic bandwidth allocation for multi-layer NoCs is proposed. Network-on-Chip (NoC) architecture has been widely used in many multi-core system designs. To improve the communication efficiency and the bandwidth utilization of NoC for various applications, we firstly propose a table-based algorithm for identifying the dominant flows at runtime. Then a two-layer NoC architecture with an application-driven bandwidth allocation scheme is presented, which is capable of identifying heavy-load dataflows and dynamically reconfiguring point-to-point (P2P) connections to optimize the heavy-load traffic. Experimental results reveal that our design (8×8 mesh NoC) achieves 28.5% performance improvement and 25.9% power consumption saving compared to the baseline NoC.

[1]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[2]  Yingtao Jiang,et al.  On self-tuning networks-on-chip for dynamic network-flow dominance adaptation , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[3]  Timothy Mark Pinkston,et al.  Communication-Aware Globally-Coordinated On-Chip Networks , 2012, IEEE Transactions on Parallel and Distributed Systems.

[4]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[5]  George Kurian,et al.  Graphite: A distributed parallel simulator for multicores , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[6]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[7]  Nanning Zheng,et al.  HORNET: A Cycle-Level Multicore Simulator , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Dietmar Tutsch,et al.  Traffic Prediction for NoCs using Fuzzy Logic , 2011 .

[9]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[10]  Andrew B. Kahng,et al.  ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Yoon Seok Yang,et al.  Exploiting path diversity for low-latency and high-bandwidth with the dual-path NoC router , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[13]  David Z. Pan,et al.  Application-Aware NoC Design for Efficient SDRAM Access , 2011, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Vincenzo Catania,et al.  Application Specific Routing Algorithms for Networks on Chip , 2009, IEEE Transactions on Parallel and Distributed Systems.

[15]  Christian Bienia,et al.  Benchmarking modern multiprocessors , 2011 .

[16]  Chung-Ta King,et al.  NTPT: On the end-to-end traffic prediction in the on-chip networks , 2010, Design Automation Conference.

[17]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[18]  Chita R. Das,et al.  Aérgia: exploiting packet latency slack in on-chip networks , 2010, ISCA.

[19]  Ranga Vemuri,et al.  An integrated multicomponent synthesis environment for MCMs , 1993, Computer.

[20]  Hoi-Jun Yoo,et al.  Intelligent NoC with neuro-fuzzy bandwidth regulation for a 51 IP object recognition processor , 2010, IEEE Custom Integrated Circuits Conference 2010.

[21]  N.K. Jha,et al.  Toward Ideal On-Chip Communication Using Express Virtual Channels , 2008, IEEE Micro.

[22]  Radu Marculescu,et al.  System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Mark Anders,et al.  Hybrid Circuit/Packet Switched Network for Energy Efficient on-Chip Interconnections , 2011, Low Power Networks-on-Chip.

[24]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[25]  Chita R. Das,et al.  A heterogeneous multiple network-on-chip design: An application-aware approach , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[26]  M. Gail Jones,et al.  It's a Small World After All. , 2005 .

[27]  Hamid Sarbazi-Azad,et al.  Virtual Point-to-Point Connections for NoCs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Mikko H. Lipasti,et al.  Circuit-Switched Coherence , 2008 .

[29]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[30]  Bill Lin,et al.  Application-specific Network-on-Chip architecture synthesis based on set partitions and Steiner Trees , 2008, 2008 Asia and South Pacific Design Automation Conference.