LEAD: Learning-enabled Energy-Aware Dynamic Voltage/frequency scaling in NoCs
暂无分享,去创建一个
[1] Richard G. Hay. Machine Learning Based DVFS for Energy Efficient Execution of Multithreaded Workloads , 2014 .
[2] Preeti Ranjan Panda,et al. Machine Learned Machines: Adaptive co-optimization of caches, cores, and On-chip Network , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[3] Geoff V. Merrett,et al. Adaptive energy minimization of embedded heterogeneous systems using regression-based learning , 2015, 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).
[4] Massoud Pedram,et al. Power punch: Towards non-blocking power-gating of NoC routers , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[5] Diana Marculescu,et al. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[6] Radu Marculescu,et al. Dynamic power management for multicores: Case study using the intel SCC , 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).
[7] Chita R. Das,et al. A case for dynamic frequency tuning in on-chip networks , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[8] Sergey Ioffe,et al. Batch Normalization: Accelerating Deep Network Training by Reducing Internal Covariate Shift , 2015, ICML.
[9] Stijn Eyerman,et al. Fine-grained DVFS using on-chip regulators , 2011, TACO.
[10] José González,et al. Independent Front-end and Back-end Dynamic Voltage Scaling for a GALS Microarchitecture , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[11] Geoff V. Merrett,et al. Adaptive Energy Minimization of OpenMP Parallel Applications on Many-Core Systems , 2015, PARMA-DITAM '15.
[12] Mark Horowitz,et al. 1.1 Computing's energy problem (and what we can do about it) , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[13] Radu Marculescu,et al. An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[14] Tajana Simunic,et al. Dynamic voltage frequency scaling for multi-tasking systems using online learning , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[15] Christian Bienia,et al. PARSEC 2.0: A New Benchmark Suite for Chip-Multiprocessors , 2009 .
[16] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[17] Xi Chen,et al. Dynamic voltage and frequency scaling for shared resources in multicore processor designs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[18] Li Shang,et al. Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links , 2002, IEEE Computer Architecture Letters.
[19] Nitish Srivastava,et al. Dropout: a simple way to prevent neural networks from overfitting , 2014, J. Mach. Learn. Res..
[20] Jian Sun,et al. Deep Residual Learning for Image Recognition , 2015, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).
[21] Hao Shen,et al. Learning based DVFS for simultaneous temperature, performance and energy management , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[22] Natalie D. Enright Jerger,et al. Improving DVFS in NoCs with Coherence Prediction , 2015, NOCS.
[23] Chen Sun,et al. DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[24] David R. Kaeli,et al. Multi2Sim: A simulation framework for CPU-GPU computing , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).