Alleviating Through-Silicon-Via Electromigration for 3-D Integrated Circuits Taking Advantage of Self-Healing Effect
暂无分享,去创建一个
Aida Todri | Weisheng Zhao | Jianlei Yang | Yuanqing Cheng | Weisheng Zhao | Yuanqing Cheng | Jianlei Yang | A. Todri
[1] Yiyu Shi,et al. Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Kaustav Banerjee,et al. 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.
[3] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[4] Giovanni De Micheli,et al. Power distribution paths in 3-D ICS , 2009, GLSVLSI '09.
[5] R. Anciant,et al. Process and RF modelling of TSV last approach for 3D RF interposer , 2011, 2011 IEEE International Interconnect Technology Conference.
[6] Zhihong Huang,et al. Thermal modeling and design of 3D integrated circuits , 2008, 2008 11th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.
[7] Thomas H. Cormen,et al. Introduction to algorithms [2nd ed.] , 2001 .
[8] L. Arnaud,et al. Resistance increase due to electromigration induced depletion under TSV , 2011, 2011 International Reliability Physics Symposium.
[9] Sung-Mo Kang,et al. Hierarchical electromigration reliability diagnosis for VLSI interconnects , 1996, DAC '96.
[10] F. d'Heurle. Electromigration and failure in electronics: An introduction , 1971 .
[11] Malgorzata Marek-Sadowska,et al. A Method for Improving Power Grid Resilience to Electromigration-Caused via Failures , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Yiran Chen,et al. A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[13] Christine S. Hau-Riege,et al. An introduction to Cu electromigration , 2004, Microelectron. Reliab..
[14] Xin Zhao,et al. Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[15] C. Sharbono,et al. Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking , 2006, 56th Electronic Components and Technology Conference 2006.
[16] Arnaud Virazel,et al. Globally Constrained Locally Optimized 3-D Power Delivery Networks , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Xiaoxiong Gu,et al. Analysis of TSV geometric parameter impact on switching noise in 3D power distribution network , 2014, 25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2014).
[18] Eby G. Friedman,et al. Clock distribution models of 3-D integrated systems , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[19] J. Black. Electromigration failure modes in aluminum metallization for semiconductor devices , 1969 .
[20] P. Andry,et al. Characterization of micro-bump C4 interconnects for Si-carrier SOP applications , 2006, 56th Electronic Components and Technology Conference 2006.
[21] Sachin S. Sapatnekar,et al. A retargetable and accurate methodology for logic-IP-internal electromigration assessment , 2015, The 20th Asia and South Pacific Design Automation Conference.
[22] Ramesh Karri,et al. Electromigration reliability enhancement via bus activity distribution , 1996, DAC '96.
[23] Gang Huang,et al. Power Delivery for 3-D Chip Stacks: Physical Modeling and Design Implication , 2012, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[24] Pierre G. Paulin,et al. System-on-chip beyond the nanometer wall , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[25] Xiaoxiong Gu,et al. Modeling of switching noise and coupling in multiple chips of 3D TSV-based systems , 2014, 2014 IEEE 64th Electronic Components and Technology Conference (ECTC).
[26] Yu Hu,et al. SwimmingLane: A composite approach to mitigate voltage droop effects in 3D power delivery network , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[27] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[28] Sachin S. Sapatnekar,et al. Congestion-aware topology optimization of structured power/ground networks , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Malgorzata Marek-Sadowska,et al. Atomic flux divergence-based AC electromigration model for signal line reliability assessment , 2015, 2015 IEEE 65th Electronic Components and Technology Conference (ECTC).
[30] Osman S. Unsal,et al. Refueling: Preventing Wire Degradation due to Electromigration , 2008, IEEE Micro.
[31] Aida Todri-Sanial. Electro-thermal characterization of Through-Silicon Vias , 2014, 2014 15th International Conference on Thermal, Mechanical and Mulit-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE).
[32] Luca Benini,et al. Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[33] Soha Hassoun,et al. Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[34] E. S. Meieran,et al. DIRECT TRANSMISSION ELECTRON MICROSCOPE OBSERVATION OF ELECTROTRANSPORT IN ALUMINUM THIN FILMS , 1967 .
[35] Xin Zhao,et al. Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[36] José Luis González,et al. Shape effect on electromigration in VLSI interconnects , 1997 .
[37] M. S. Bakir,et al. Fine pitch TSV integration in silicon micropin-fin heat sinks for 3D ICs , 2012, 2012 IEEE International Interconnect Technology Conference.
[38] Sung Kyu Lim,et al. Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[39] Tao Zhang,et al. 3D-SWIFT: a high-performance 3D-stacked wide IO DRAM , 2014, GLSVLSI '14.
[40] Narayanan Vijaykrishnan,et al. Thermally Robust Clocking Schemes for 3D Integrated Circuits , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[41] Arnaud Virazel,et al. A novel method to mitigate TSV electromigration for 3D ICs , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[42] Malgorzata Marek-Sadowska,et al. Power supply noise aware workload assignment for multi-core systems , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[43] Eric Beyne,et al. 3D Stacked IC demonstrator using Hybrid Collective Die-to-Wafer bonding with copper Through Silicon Vias (TSV) , 2009, 2009 IEEE International Conference on 3D System Integration.
[44] Zheng Xu,et al. TSV density impact on 3D power delivery with high aspect ratio TSVs , 2013, ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference.
[45] Albert E. Ruehli,et al. The modified nodal approach to network analysis , 1975 .
[46] Taigon Song,et al. PDN Impedance Modeling and Analysis of 3D TSV IC by Using Proposed P/G TSV Array Model Based on Separated P/G TSV and Chip-PDN Models , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[47] Tak-Yung Kim,et al. Clock network design techniques for 3D ICs , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).
[48] Andrew B. Kahng,et al. Clock clustering and IO optimization for 3D integration , 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
[49] Xin Zhao,et al. Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[50] Yuan Xie,et al. Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[51] Weisheng Zhao,et al. Spintronics-based Computing , 2015 .
[52] Yu Wang,et al. Efficient region-aware P/G TSV planning for 3D ICs , 2014, Fifteenth International Symposium on Quality Electronic Design.
[53] Avinoam Kolodny,et al. On-die decoupling capacitance: frequency domain analysis of activity radius , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[54] Jiwoo Pak,et al. Modeling of electromigration in through-silicon-via based 3D IC , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
[55] M. Swaminathan,et al. Impact of power-supply noise on timing in high-frequency microprocessors , 2002, Electrical Performance of Electronic Packaging,.
[56] Sheldon X.-D. Tan,et al. Interconnect reliability modeling and analysis for multi-branch interconnect trees , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[57] L. Dilillo,et al. Fast and accurate electro-thermal analysis of three-dimensional power delivery networks , 2013, 2013 14th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE).
[58] Sung Kyu Lim,et al. A novel TSV topology for many-tier 3D power-delivery networks , 2011, 2011 Design, Automation & Test in Europe.
[59] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[60] Fabien Clermidy,et al. 3D Embedded multi-core: Some perspectives , 2011, 2011 Design, Automation & Test in Europe.
[61] J. Meindl,et al. A 3D-IC Technology with Integrated Microchannel Cooling , 2008, 2008 International Interconnect Technology Conference.
[62] Eby G. Friedman,et al. Power Distribution Networks with On-Chip Decoupling Capacitors , 2007 .
[63] Eby G. Friedman,et al. Clock Distribution Networks in 3-D Integrated Systems , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[64] Malgorzata Marek-Sadowska,et al. Power Delivery for Multicore Systems , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[65] Avinoam Kolodny,et al. Effective Radii of On-Chip Decoupling Capacitors , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[66] Yong Liu,et al. Modeling of electromigration of the through silicon via interconnects , 2010, 2010 11th International Conference on Electronic Packaging Technology & High Density Packaging.
[67] Rajendran Panda,et al. Hierarchical analysis of power distribution networks , 2000, DAC.
[68] Partha Pratim Pande,et al. Performance Evaluation for Three-Dimensional Networks-On-Chip , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).
[69] Yuan Xie,et al. Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.
[70] Chenming Hu,et al. Projecting interconnect electromigration lifetime for arbitrary current waveforms , 1990 .
[71] C. Hu,et al. Metal electromigration damage healing under bidirectional current stress , 1993, IEEE Electron Device Letters.
[72] Taewhan Kim,et al. Synthesis of TSV Fault-Tolerant 3-D Clock Trees , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[73] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[74] Pingqiang Zhou,et al. Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors , 2009, 2009 Asia and South Pacific Design Automation Conference.
[75] Yu Wang,et al. Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[76] Arnaud Virazel,et al. A Study of Tapered 3-D TSVs for Power and Thermal Integrity , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[77] Luca Benini,et al. A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[78] Rami G. Melhem,et al. Integrated CPU Cache Power Management in Multiple Clock Domain Processors , 2008, HiPEAC.
[79] Lijun Jiang,et al. Electrical-thermal co-analysis for power delivery networks in 3D system integration , 2009, 2009 IEEE International Conference on 3D System Integration.
[80] James J.-Q. Lu,et al. Modeling and Analysis of PDN Impedance and Switching Noise in TSV-Based 3-D Integration , 2015, IEEE Transactions on Electron Devices.
[81] Clifford Stein,et al. Introduction to Algorithms, 2nd edition. , 2001 .
[82] Cher Ming Tan,et al. Electromigration performance of Through Silicon Via (TSV) - A modeling approach , 2010, Microelectron. Reliab..
[83] Madhavan Swaminathan,et al. Simulation of power delivery networks with Joule heating effects for 3D integration , 2010, 3rd Electronics System Integration Technology Conference ESTC.
[84] Shih-Chieh Chang,et al. Electromigration and voltage drop aware power grid optimization for power gated ICs , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).