Investigating the effects of fine-grain three-dimensional integration on microarchitecture design

In this article we propose techniques that enable efficient exploration of the 3D design space, where each logical block can span more than one silicon layer. Fine-grain 3D integration provides reduced intrablock wire delay as well as improved power consumption. However, the corresponding power and performance advantage is usually underutilized, since various implementations of multilayer blocks require novel physical design and microarchitecture infrastructure to explore 3D microarchitecture design space. We develop a cubic packing engine which can simultaneously optimize physical and architectural design for efficient vertical integration. This technique selects the individual unit designs from a set of single-layer or multilayer implementations to get the best microarchitectural design in terms of performance, temperature, or both. Our experimental results using a design driver of a high-performance superscalar processor show a 36% performance improvement over traditional 2D for 2--4 layers and 14% over 3D with single-layer unit implementations. Since thermal characteristics of 3D integrated circuits are among the main challenges, thermal-aware floorplanning and thermal via insertion techniques are employed to keep the peak temperatures below threshold.

[1]  Yao-Wen Chang,et al.  Temporal floorplanning using 3D-subTCG , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[2]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[3]  Gabriel H. Loh,et al.  Dynamic instruction schedulers in a 3-dimensional integration technology , 2006, GLSVLSI '06.

[4]  Bryan Black,et al.  3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[5]  Chikaaki Kodama,et al.  Evaluation of 3D-packing representations for scheduling of dynamically reconfigurable systems , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[6]  Werner Weber,et al.  Performance Improvement of the Memory Hierarchy of RISC Systems by Applications of 3-D Technology. , 1995 .

[7]  Yan Zhang,et al.  Thermal-driven multilevel routing for 3D ICs , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[8]  Sheqin Dong,et al.  3D CBL: an efficient algorithm for general 3D packing problems , 2005, 48th Midwest Symposium on Circuits and Systems, 2005..

[9]  Gabriel H. Loh,et al.  Thermal analysis of a 3D die-stacked high-performance microprocessor , 2006, GLSVLSI '06.

[10]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[11]  Jason Cong,et al.  Fine grain 3D integration for microarchitecture design through cube packing exploration , 2007, 2007 25th International Conference on Computer Design.

[12]  M. Turowski,et al.  Fast, automated thermal simulation of three-dimensional integrated circuits , 2004, The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena In Electronic Systems (IEEE Cat. No.04CH37543).

[13]  Avi Mendelson,et al.  Coming challenges in microarchitecture and architecture , 2001, Proc. IEEE.

[14]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[15]  J. Cong,et al.  Microarchitecture evaluation with floorplanning and interconnect pipelining , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[16]  S. Tiwari,et al.  Multi-layers with buried structures (MLBS): an approach to three-dimensional integration , 2001, 2001 IEEE International SOI Conference. Proceedings (Cat. No.01CH37207).

[17]  Yao-Wen Chang,et al.  Temporal floorplanning using 3D-subTCG , 2004 .

[18]  Michael J. Flynn,et al.  Limits of Scaling MOSFETs , 1995 .

[19]  Joel S. Emer,et al.  Loose loops sink chips , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[20]  Jang-Kyo Kim,et al.  Three-dimensional packaging for multi-chip module with through-the-silicon via hole , 2003, Proceedings of the 5th Electronics Packaging Technology Conference (EPTC 2003).

[21]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[22]  Yici Cai,et al.  Corner block list: an effective and efficient topological representation of non-slicing floorplan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[23]  Jason Cong,et al.  An automated design flow for 3D microarchitecture evaluation , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[24]  Steven M. Nowick,et al.  ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.

[25]  Gabriel H. Loh,et al.  Scalability of 3D-Integrated Arithmetic Units in High-Performance Microprocessors , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[26]  Jason Cong,et al.  Thermal-driven multilevel routing for 3-D ICs , 2005, Asia and South Pacific Design Automation Conference.

[27]  Yuan Xie,et al.  Design space exploration for 3D architectures , 2006, JETC.

[28]  Marc Tremblay,et al.  A three dimensional register file for superscalar processors , 1995, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences.

[29]  Gabriel H. Loh A modular 3d processor for flexible product design and technology migration , 2008, CF '08.

[30]  Antonio González,et al.  Energy-effective issue logic , 2001, ISCA 2001.

[31]  Sheqin Dong,et al.  3D CBL: An Efficient Algorithm for General 3-Dimensional Packing Problems * , 2005 .

[32]  Norman P. Jouppi,et al.  Cacti 3. 0: an integrated cache timing, power, and area model , 2001 .

[33]  Narayanan Vijaykrishnan,et al.  Three-dimensional cache design exploration using 3DCacti , 2005, 2005 International Conference on Computer Design.

[34]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[35]  Jason Cong,et al.  Interconnect estimation and planning for deep submicron designs , 1999, DAC '99.

[36]  Mahmut T. Kandemir,et al.  Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[37]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[38]  Nisha Checka,et al.  Technology, performance, and computer-aided design of three-dimensional integrated circuits , 2004, ISPD '04.

[39]  Narayanan Vijaykrishnan,et al.  Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[40]  C.K. Chen,et al.  A wafer-scale 3-D circuit integration technology , 2006, IEEE Transactions on Electron Devices.

[41]  Gabriel H. Loh,et al.  The impact of 3-dimensional integration on the design of arithmetic units , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[42]  Yoji Kajitani,et al.  The 3 D-Packing by Meta Data Structure and Packing Heuristics , 2000 .

[43]  Werner Weber,et al.  Performance improvement of the memory hierarchy of RISC-systems by application of 3-D-technology , 1995, 1995 Proceedings. 45th Electronic Components and Technology Conference.

[44]  Norman P. Jouppi,et al.  CACTI 2.0: An Integrated Cache Timing and Power Model , 2002 .

[45]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[46]  Eric Sprangle,et al.  Increasing processor performance by implementing deeper pipelines , 2002, ISCA.

[47]  Qiang Zhou,et al.  Integrating dynamic thermal via planning with 3D floorplanning algorithm , 2006, ISPD '06.

[48]  Anantha Chandrakasan,et al.  Design tools for 3-D integrated circuits , 2003, ASP-DAC '03.

[49]  Norman P. Jouppi,et al.  Register file design considerations in dynamically scheduled processors , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

[50]  James E. Smith,et al.  Complexity-Effective Superscalar Processors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[51]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.