Through Silicon Via Aware Design Planning for Thermally Efficient 3-D Integrated Circuits
暂无分享,去创建一个
Yuan Xie | Yibo Chen | Charles Johnson | Eren Kursun | Dave Motschman | Yuan Xie | Yibo Chen | E. Kursun | D. Motschman | C. Johnson
[1] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[2] Luca Benini,et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.
[3] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Sung Kyu Lim,et al. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Ying Chen,et al. Microarchitecture-aware floorplanning using a statistical design of experiments approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[6] Sachin S. Sapatnekar,et al. Placement of thermal vias in 3-D ICs using various thermal objectives , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] Ravi Prasher,et al. Thermal Interface Materials: Historical Perspective, Status, and Future Directions , 2006, Proceedings of the IEEE.
[8] Ieee Circuits,et al. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] K.C. Saraswat,et al. Thermal analysis of heterogeneous 3D ICs with various integration scenarios , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[10] Kevin Skadron,et al. Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..
[11] R. Ranica,et al. A Cost-Effective Low Power Platform for the 45-nm Technology Node , 2006, 2006 International Electron Devices Meeting.
[12] Paresh Limaye,et al. Design issues and considerations for low-cost 3D TSV IC technology , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[13] E. Beyne. 3D interconnection and packaging: impending reality or still a dream? , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[14] Sachin S. Sapatnekar,et al. Temperature-aware routing in 3D ICs , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[15] Hsien-Hsin S. Lee,et al. Profile-guided microarchitectural floor planning for deep submicron processor design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] Narayanan Vijaykrishnan,et al. Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[17] N. Kernevez,et al. Challenges for 3D IC integration: bonding quality and thermal management , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[18] Hsien-Hsin S. Lee,et al. Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.
[19] José González,et al. Understanding the Thermal Implications of Multi-Core Architectures , 2007, IEEE Transactions on Parallel and Distributed Systems.
[20] Sung Kyu Lim,et al. Bus-aware microarchitectural floorplanning , 2008, 2008 Asia and South Pacific Design Automation Conference.
[21] Jason Cong,et al. Thermal-driven multilevel routing for 3-D ICs , 2005, Asia and South Pacific Design Automation Conference.
[22] Jason Cong,et al. Investigating the effects of fine-grain three-dimensional integration on microarchitecture design , 2008, JETC.
[23] S. Das,et al. Fabrication technologies for three-dimensional integrated circuits , 2002, Proceedings International Symposium on Quality Electronic Design.
[24] Tao Zhang,et al. A customized design of DRAM controller for on-chip 3D DRAM stacking , 2010, IEEE Custom Integrated Circuits Conference 2010.
[25] Saeed Moaveni,et al. Finite Element Analysis Theory and Application with ANSYS , 2007 .
[26] Kevin Skadron,et al. Many-core design from a thermal perspective , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[27] Philip G. Emma,et al. Is 3D chip technology the next growth engine for performance improvement? , 2008, IBM J. Res. Dev..
[28] Jason Cong,et al. A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[29] Kaustav Banerjee,et al. Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[30] Ruchir Puri,et al. The Dawn of 22nm Era: Design and CAD Challenges , 2010, 2010 23rd International Conference on VLSI Design.
[31] Lei Jiang,et al. Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[32] Sachin S. Sapatnekar,et al. Placement of 3D ICs with Thermal and Interlayer Via Considerations , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[33] Jason Cong,et al. Microarchitecture evaluation with physical planning , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[34] Sachin S. Sapatnekar,et al. Thermal via placement in 3D ICs , 2005, ISPD '05.
[35] Shekhar Y. Borkar. 3D integration for energy efficient system design , 2006, 2009 Symposium on VLSI Technology.
[36] A. Jourdain,et al. 3D stacked IC demonstration using a through Silicon Via First approach , 2008, 2008 IEEE International Electron Devices Meeting.
[37] Mitsumasa Koyanagi,et al. High-Density Through Silicon Vias for 3-D LSIs , 2009, Proceedings of the IEEE.
[38] Jason Cong,et al. A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.