Orchestrator: A low-cost solution to reduce voltage emergencies for multi-threaded applications
暂无分享,去创建一个
Yu Hu | Xiaowei Li | Xing Hu | Guihai Yan
[1] Meeta Sharma Gupta,et al. Eliminating voltage emergencies via software-guided code transformations , 2010, TACO.
[2] Xiaowei Li,et al. Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors , 2010, ISCA '10.
[3] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[4] Meeta Sharma Gupta,et al. Towards a software approach to mitigate voltage emergencies , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[5] Meeta Sharma Gupta,et al. DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[6] Peter F. Sweeney,et al. Understanding the cost of thread migration for multi-threaded Java applications running on a multicore platform , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[7] Dean M. Tullsen,et al. Fast thread migration via cache working set prediction , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[8] Meeta Sharma Gupta,et al. An event-guided approach to reducing voltage noise in processors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[9] William V. Huott,et al. Comparison of Split-Versus Connected-Core Supplies in the POWER6 Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[10] Bishop Brock,et al. Active management of timing guardband to save energy in POWER7 , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[11] Michael D. Smith,et al. Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[12] Meeta Sharma Gupta,et al. Voltage emergency prediction: Using signatures to reduce operating margins , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[13] Margaret Martonosi,et al. Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors , 2009, ISCA '09.
[14] Yu Hu,et al. A cost-effective substantial-impact-filter based method to tolerate voltage emergencies , 2011, 2011 Design, Automation & Test in Europe.
[15] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[16] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[17] U. Weiser,et al. Multiple clock and Voltage Domains for chip multi processors , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[18] Xiang Pan,et al. VRSync: Characterizing and eliminating synchronization-induced voltage emergencies in many-core processors , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[19] T. N. Vijaykumar,et al. Pipeline damping: a microarchitectural technique to reduce inductive noise in supply voltage , 2003, ISCA '03.
[20] Minyi Guo,et al. AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[21] D. Albonesi,et al. Mitigating inductive noise in SMT processors , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[22] Wei-Yin Loh,et al. Classification and regression trees , 2011, WIREs Data Mining Knowl. Discov..
[23] Vivek Tiwari,et al. Microarchitectural simulation and control of di/dt-induced power supply voltage variation , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[24] Soraya Ghiasi,et al. A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[25] David Ayers,et al. Chip-package-board co-design of a 45nm 8-core enterprise Xeon processor , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).