Heterogeneous 3D Integration for a RISC-V System With STT-MRAM

Spin Torque Transfer Magnetic RAM (STT-MRAM) is a promising Non-Volatile Memory (NVM) technology achieving high density, low leakage power, and relatively small read/write delays. It provides a solution to improve the performance and to mitigate the leakage power consumption compared to SRAM-based processors. However, the process heterogeneity and the sophisticated back-end-of-line (BEOL) structure make it difficult to integrate the STT-MRAM in two-dimensional integrated circuits (2D ICs). In this article, we implement a RISC-V-based processor with STT-MRAM using a heterogeneous 3D integration methodology. Compared with the SRAM-based 2D counterpart, the MRAM-based 3D IC provides up to 17.55 percent silicon area saving, together with either 34.74 percent performance gain or 13.90 percent energy reduction.

[1]  Francky Catthoor,et al.  Cross-layer design and analysis of a low power, high density STT-MRAM for embedded systems , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

[2]  Alberto García Ortiz,et al.  Macro-3D: A Physical Design Methodology for Face-to-Face-Stacked Heterogeneous 3D ICs , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  G. G. Stokes "J." , 1890, The New Yale Book of Quotations.

[4]  Luca Benini,et al.  The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-Ready 1.7-GHz 64-Bit RISC-V Core in 22-nm FDSOI Technology , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Mahmut T. Kandemir,et al.  Evaluating STT-RAM as an energy-efficient main memory alternative , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[6]  David Wentzlaff,et al.  OpenPiton: An Open Source Manycore Research Framework , 2016, ASPLOS.

[7]  Enrico Macii,et al.  Design Techniques and Architectures for Low-Leakage SRAMs , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[8]  Francky Catthoor,et al.  Feasibility exploration of NVM based I-cache through MSHR enhancements , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[9]  Kaushik Roy,et al.  Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture , 2012, DAC Design Automation Conference 2012.

[10]  O. O. Okudur,et al.  Scalable, sub 2μm pitch, Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[11]  Sung Kyu Lim,et al.  Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs , 2018, ISPD.

[12]  Olivier Thomas,et al.  CMOS SRAM scaling limits under optimum stability constraints , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).