Automated Techniques for Synthesis of Application-Specific Network-on-Chip Architectures

This paper addresses the automated synthesis of a custom network-on-chip architecture whose topology is optimized for the specific communication requirements of the target device. The optimization objectives include power consumption and resource usage. This paper presents a two-stage synthesis approach consisting of the following: (1) core to router mapping and (2) custom topology and route generation. In particular, it presents an optimal technique for core to router mapping [stage (1)] and a factor-2 approximation algorithm for custom topology generation [stage (2)]. The superior quality of the techniques is established by experimentation with benchmark applications and by comparisons with existing approaches.

[1]  Radu Marculescu,et al.  Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach , 2005, Design, Automation and Test in Europe.

[2]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[3]  Srinivasan Murali,et al.  A Methodology for Mapping Multiple Use-Cases onto Networks on Chips , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[4]  Vincenzo Catania,et al.  Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

[5]  Vijay V. Vazirani,et al.  Approximation Algorithms , 2001, Springer Berlin Heidelberg.

[6]  Radu Marculescu,et al.  Application-specific network-on-chip architecture customization via long-range link insertion , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[7]  Kees G. W. Goossens,et al.  Networks on Chips for High-End Consumer-Electronics TV System Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[8]  Krishnan Srinivasan,et al.  An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[9]  Kamal Jain A Factor 2 Approximation Algorithm for the Generalized Steiner Network Problem , 2001, Comb..

[10]  Krishnan Srinivasan,et al.  A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[11]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[12]  Kaustav Banerjee,et al.  Inductance aware interconnect scaling , 2002, Proceedings International Symposium on Quality Electronic Design.

[13]  L. Benini,et al.  /spl times/pipesCompiler: a tool for instantiating application specific networks on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[14]  Kamal Jain,et al.  A Factor 2 Approximation Algorithm for the Generalized Steiner Network Problem , 1998, Proceedings 39th Annual Symposium on Foundations of Computer Science (Cat. No.98CB36280).

[15]  Luca Benini,et al.  ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.

[16]  Axel Jantsch,et al.  Network on Chip : An architecture for billion transistor era , 2000 .

[17]  Krishnan Srinivasan,et al.  Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms , 2007, 2007 Asia and South Pacific Design Automation Conference.

[18]  Nikil D. Dutt,et al.  FABSYN: floorplan-aware bus architecture synthesis , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Karam S. Chatha,et al.  System level methodology for programming CMP based multi-threaded network processor architectures , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

[20]  Krishnan Srinivasan,et al.  Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[21]  Ran Ginosar,et al.  Efficient Link Capacity and QoS Design for Network-on-Chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[22]  Karam S. Chatha,et al.  Quality-of-service and error control techniques for mesh-based network-on-chip architectures , 2005, Integr..

[23]  Alberto L. Sangiovanni-Vincentelli,et al.  Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.

[24]  W. Pulleyblank Chapter V Polyhedral combinatorics , 1989 .

[25]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[26]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.

[27]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[28]  Luca Benini Application Specific NoC Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[29]  A. Schrijver Polyhedral combinatorics , 1996 .

[30]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[31]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[32]  Luca Benini,et al.  Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.