A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip

We describe a voltage-scalable 32b microprocessor system-on-chip (SoC) that provides both moderate peak performance (up to 82.5 MHz at 1.2 V) and extreme energy efficiency (10.2 pJ/cycle at 0.54 V) for applications with limited energy budgets and time varying processing loads. The SoC employs low-voltage 8T SRAMs operating down to an array voltage of 0.4 V. Memory access energy is further reduced by miniature (128 B) latch-based instruction and data caches. On chip clock generation and the ability to boot from a small external serial flash ROM makes for a very small overall system.

[1]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[2]  Rajit Manohar,et al.  An ultra low-power processor for sensor networks , 2004, ASPLOS XI.

[3]  Seok-Jun Lee,et al.  Microwatt Embedded Processor Platform for Medical System-on-Chip Applications , 2011, IEEE Journal of Solid-State Circuits.

[4]  S. Shimada,et al.  Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[5]  David Blaauw,et al.  A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution , 2005, CASES '05.

[6]  J. Kwong,et al.  An Energy-Efficient Biomedical Signal Processing Platform , 2010, IEEE Journal of Solid-State Circuits.

[7]  A. Chandrakasan,et al.  A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[8]  Jason Liu,et al.  A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[9]  Naveen Verma,et al.  A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[10]  Mario Konijnenburg,et al.  A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4V , 2011, 2011 IEEE International Solid-State Circuits Conference.

[11]  Masahiro Nomura,et al.  A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.

[12]  A.P. Chandrakasan,et al.  A 10-pJ/instruction, 4-MIPS micropower DSP for sensor applications , 2008, 2008 IEEE Asian Solid-State Circuits Conference.

[13]  K.S.J. Pister,et al.  An ultra-low energy microcontroller for Smart Dust wireless sensor networks , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[14]  Roger M. Needham,et al.  TEA, a Tiny Encryption Algorithm , 1994, FSE.

[15]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[16]  N. Vallepalli,et al.  A 3-GHz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[17]  Anantha Chandrakasan,et al.  A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V , 2011, 2011 IEEE International Solid-State Circuits Conference.