Transfer of self-aligned spacer patterns for single-digit nanofabrication

We report the transfer of sub-10 nm half-pitch grating patterns created through a combination of block copolymer directed self-assembly and sidewall spacer-based self-aligned double patterning into Si substrates. Low substrate bias reactive ion etching of TiOx conformally deposited onto carbon mandrels using atomic layer deposition renders distinct, pitch-halved spacers with minimal etch byproduct redeposition. Independent spacer and mandrel width control and the use of an underlying CrNx hard mask deposited by reactive sputtering facilitates etching of Si lines with low roughness and fine placement control. The insights into pattern transfer presented here are directly applicable to the fabrication of rectangular bit pattern nanoimprint templates at densities above 1.5 Td in(-2).

[1]  William D. Hinsberg,et al.  Self-assembly patterning for sub-15nm half-pitch: a transition from lab to fab , 2011, Advanced Lithography.

[2]  Christopher J. Ellison,et al.  Polarity-Switching Top Coats Enable Orientation of Sub–10-nm Block Copolymer Domains , 2012, Science.

[3]  B. Terris,et al.  Nanofabricated and self-assembled magnetic structures as data storage media , 2005 .

[4]  Lei Wan,et al.  Bit Patterned Media at 1 Tdot/in2 and Beyond , 2013, IEEE Transactions on Magnetics.

[5]  S. Darling,et al.  Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers , 2010, Advanced materials.

[6]  M. Schabes,et al.  Micromagnetic simulations for terabit/in2 head/media systems , 2008 .

[7]  P. Nealey,et al.  Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates , 2003, Nature.

[8]  John Robertson,et al.  The Ultrasmoothness of Diamond-like Carbon Surfaces , 2005, Science.

[9]  R. Ruiz,et al.  Image quality and pattern transfer in directed self assembly with block-selective atomic layer deposition , 2012 .

[10]  Harry J. Levinson,et al.  Spacer defined double patterning for sub-72 nm pitch logic technology , 2010, Advanced Lithography.

[11]  G. Cohen,et al.  High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[12]  H. Craighead,et al.  Plasma etching with self-assembled monolayer masks for nanostructure fabrication , 1996 .

[13]  D. C. Gray,et al.  Redeposition kinetics in fluorocarbon plasma etching , 1994 .

[14]  Alexei Bogdanov,et al.  Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography , 2012 .

[15]  T. Albrecht,et al.  Rectangular patterns using block copolymer directed assembly for high bit aspect ratio patterned media. , 2011, ACS nano.

[16]  A. Grill Diamond-like carbon: state of the art , 1999 .

[17]  Chi-Chun Liu,et al.  Pattern placement accuracy in block copolymer directed self-assembly based on chemical epitaxy. , 2013, ACS nano.

[18]  G. N. Makarov Laser applications in nanotechnology: nanofabrication using laser ablation and laser nanolithography , 2013 .

[19]  I. Rangelow,et al.  Profile evolution of Cr masked features undergoing HBr-inductively coupled plasma etching for use in 25 nm silicon nanoimprint templates , 2005 .

[20]  He Gao,et al.  Line-frequency doubling of directed self-assembly patterns for single-digit bit pattern media lithography , 2012, Advanced Lithography.

[21]  G. Calafiore,et al.  Obtaining nanoimprint template gratings with 10 nm half-pitch by atomic layer deposition enabled spacer double patterning , 2013, Nanotechnology.

[22]  Karen Willcox,et al.  Kinetics and kinematics for translational motions in microgravity during parabolic flight. , 2009, Aviation, space, and environmental medicine.

[23]  Lei Wan,et al.  Imprint lithography template technology for bit patterned media (BPM) , 2011, Photomask Technology.

[24]  S. J. Pearton,et al.  Dry etch chemistries for TiO2 thin films , 2001 .

[25]  Joy Y. Cheng,et al.  Nanostructure engineering by templated self-assembly of block copolymers , 2004, Nature materials.

[26]  Zhaoning Yu,et al.  Study of silica nano-pattern erosion in H2SO4-H2O2 mixture using spectroscopic ellipsometry , 2012 .

[27]  Joy Y. Cheng,et al.  Dense Self‐Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers , 2008 .

[28]  Joel K. W. Yang,et al.  Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates , 2008, Science.

[29]  D. Weller,et al.  Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch(2) and Beyond. , 2009, ACS nano.

[30]  C. W. Jurgensen,et al.  Microscopic uniformity in plasma etching , 1992 .

[31]  M. V. Malyshev,et al.  Effects of plasma conditions on the shapes of features etched in Cl2 and HBr plasmas. I. Bulk crystalline silicon etching , 1998 .

[32]  S. Kim,et al.  Atomic Layer Deposition Assisted Pattern Multiplication of Block Copolymer Lithography for 5 nm Scale Nanopatterning , 2014 .

[33]  David Kuo,et al.  Directed self-assembly for high-density bit-patterned media fabrication using spherical block copolymers , 2013 .