Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs
暂无分享,去创建一个
Norbert Wehn | Pascal Vivet | Cristiano Santos | Christian Weis | Matthias Jung | Sven Goossens | Martijn Koedam | Peter Ehses | N. Wehn | C. Santos | P. Vivet | Sven Goossens | Martijn Koedam | Matthias Jung | C. Weis | Peter Ehses
[1] Eduardo Pinheiro,et al. DRAM errors in the wild: a large-scale field study , 2009, SIGMETRICS '09.
[2] Norbert Wehn,et al. TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration , 2013, RAPIDO '13.
[3] Norbert Wehn,et al. Exploiting expendable process-margins in DRAMs for run-time performance optimization , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[4] T. Hamamoto,et al. On the retention time distribution of dynamic random access memory (DRAM) , 1998 .
[5] Ricardo Reis,et al. System-level thermal modeling for 3D circuits: Characterization with a 65nm memory-on-logic circuit , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).
[6] W. Robert Daasch,et al. Copula Models of Correlation: A DRAM Case Study , 2014, IEEE Transactions on Computers.
[7] Kees G. W. Goossens,et al. A reconfigurable real-time SDRAM controller for mixed time-criticality systems , 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[8] Kuo-ying Tsai,et al. Thermal characterization of a wide I/O 3DIC , 2011, 2011 6th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT).
[9] Onur Mutlu,et al. An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms , 2013, ISCA.
[10] Richard Veras,et al. RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[11] Christian Bernard,et al. A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC , 2013, 2013 Symposium on VLSI Technology.
[12] Bong-Seok Han,et al. Adaptive Self Refresh Scheme for Battery Operated High-Density Mobile DRAM Applications , 2006, 2006 IEEE Asian Solid-State Circuits Conference.
[13] Kinam Kim,et al. A New Investigation of Data Retention Time in Truly Nanoscaled DRAMs , 2009, IEEE Electron Device Letters.
[14] Heesang Kim,et al. Study of Trap Models Related to the Variable Retention Time Phenomenon in DRAM , 2011, IEEE Transactions on Electron Devices.
[15] Hyungsoon Shin. Modeling of alpha-particle-induced soft error rate in DRAM , 1999 .
[16] Young-Hyun Jun,et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.
[17] Hyungcheol Shin,et al. Characterization of the Variable Retention Time in Dynamic Random Access Memory , 2011, IEEE Transactions on Electron Devices.
[18] Eric Rotenberg,et al. Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..