Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics

Back end of the line dielectrics (BEOL) with low dielectric constants, so called low-k dielectrics, are needed for current and future integrated circuit technology nodes. However, an understanding of the defects which limit reliability and cause leakage currents for these films is not yet developed. We primarily utilize conventional electron paramagnetic resonance (EPR) and leakage current measurements to investigate amorphous hydrogenated carbon doped oxide (a-SiOC:H) dielectrics, the most important in current BEOL technology. The resonance measurements were complemented by transmission Fourier-transform infra-red spectroscopy, x-ray reflectivity, and Rutherford backscattering measurements. Various compositions of a-SiOC:H films were deposited on 300 mm diameter Si (100) wafers. They exhibit a wide range of dielectric constant, composition, and porosity. Variations in deposition method, process chemistry, and post deposition curing were also investigated. We observe a remarkable range of paramagnetic def...

[1]  F. Lorut,et al.  Modelization of structural changes in ultra low k materials during ultraviolet cure , 2013 .

[2]  Yusuke Matsuda,et al.  Influence of network bond percolation on the thermal, mechanical, electrical and optical properties of high and low-k a-SiC:H thin films , 2013 .

[3]  Benjamin French,et al.  Detection of surface electronic defect states in low and high-k dielectrics using reflection electron energy loss spectroscopy , 2013 .

[4]  Sean W. King,et al.  Research Updates: The three M's (materials, metrology, and modeling) together pave the path to future nanoelectronic technologies , 2013 .

[5]  J. Shohet,et al.  The effects of plasma exposure and vacuum ultraviolet irradiation on photopatternable low-k dielectric materials , 2013 .

[6]  Patrick M. Lenahan,et al.  Defects and electronic transport in hydrogenated amorphous SiC films of interest for low dielectric constant back end of the line dielectric systems , 2013 .

[7]  I. De Wolf,et al.  Correlation between field dependent electrical conduction and dielectric breakdown in a SiCOH based low-k (k = 2.0) dielectric , 2013 .

[8]  E. T. Ryan,et al.  Study of viscoplastic deformation in porous organosilicate thin films for ultra low-k applications , 2013 .

[9]  J. Vlassak,et al.  The effect of the pore topology on the elastic modulus of organosilicate glasses , 2013 .

[10]  Andre Stesmans,et al.  High-resolution electron spin resonance analysis of ion bombardment induced defects in advanced low-κ insulators (κ = 2.0-2.5) , 2013 .

[11]  Sean W. King,et al.  Mechanical properties of high porosity low-k dielectric nano-films determined by Brillouin light scattering , 2013 .

[12]  Benjamin French,et al.  Detection of defect states in low-k dielectrics using reflection electron energy loss spectroscopy , 2013 .

[13]  S. King,et al.  Transmission fourier transform infra-red spectroscopy investigation of structure property relationships in low-k SiO x C y :H Dielectric thin films , 2013 .

[14]  Sebastian Engelmann,et al.  The effects of vacuum ultraviolet radiation on low-k dielectric films , 2012 .

[15]  S. King,et al.  Validation of a correction procedure for removing the optical effects from transmission spectra of thin films on substrates , 2012 .

[16]  S. King,et al.  A method to extract absorption coefficient of thin films from transmission spectra of the films on thick substrates , 2012 .

[17]  David De Roest,et al.  Influence of porosity on electrical properties of low-k dielectrics , 2012 .

[18]  Tom Mountsier,et al.  Synergistic combinations of dielectrics and metallization process technology to achieve 22nm interconnect performance targets , 2012 .

[19]  R. Opila,et al.  Development of low-k precursors for next generation IC manufacturing , 2012 .

[20]  G. A. Antonelli,et al.  Time-dependent dielectric breakdown of plasma-exposed porous organosilicate glass , 2012 .

[21]  Marc French,et al.  Film Property Requirements for Hermetic Low-k a-SiOxCyNz:H Dielectric Barriers , 2012 .

[22]  Benjamin French,et al.  X-ray photoelectron spectroscopy investigation of the Schottky barrier at low-k a-SiO(C):H/Cu interfaces , 2011 .

[23]  Sean W. King,et al.  Mass and bond density measurements for PECVD a-SiCx:H thin films using Fourier transform-infrared spectroscopy , 2011 .

[24]  W. Lanford,et al.  Fourier transform infrared spectroscopy investigation of chemical bonding in low-k a-SiC:H thin films , 2011 .

[25]  Andre Stesmans,et al.  Electron spin resonance study of defects in low-κ oxide insulators (κ=2.5-2.0) , 2011 .

[26]  Yoshio Nishi,et al.  The nature of the defects generated from plasma exposure in pristine and ultraviolet-cured low-k organosilicate glass , 2011 .

[27]  Larry Zhao,et al.  Accelerated Publication: Effect of porogen residue on electrical characteristics of ultra low-k materials , 2011 .

[28]  K. Vanstreels,et al.  Effect of UV wavelength on the hardening process of porogen-containing and porogen-free ultralow-k plasma-enhanced chemical vapor deposition dielectricsa) , 2011 .

[29]  G. A. Antonelli,et al.  Effects of vacuum ultraviolet radiation on deposited and ultraviolet-cured low-k porous organosilicate glass , 2011 .

[30]  Yoshio Nishi,et al.  Defects in low-k organosilicate glass and their response to processing as measured with electron-spin resonance , 2011 .

[31]  N. M. Russell,et al.  Effect of vacuum ultraviolet and ultraviolet irradiation on mobile charges in the bandgap of low-k-porous organosilicate dielectrics , 2011 .

[32]  P. Gonon,et al.  Crosslinking of porous SiOCH films involving Si-O-C bonds: Impact of deposition and curing , 2010 .

[33]  G. A. Antonelli,et al.  Plasma damage effects on low-k porous organosilicate glass , 2010 .

[34]  N. Matoba,et al.  Effect of ultraviolet cure on the interfacial toughness and structure of SiOC thin film on Si substrate , 2010 .

[35]  G. A. Antonelli,et al.  Surface potential due to charge accumulation during vacuum ultraviolet exposure for high-k and low-k dielectrics , 2010 .

[36]  Patrick M. Lenahan,et al.  Ultraviolet radiation effects on paramagnetic defects in low-κ dielectrics for ultralarge scale integrated circuit interconnects , 2010 .

[37]  Yoshio Nishi,et al.  Charge Trapping within UV and Vacuum UV Irradiated Low-k Porous Organosilicate Dielectrics , 2010 .

[38]  Gennadi Bersuker,et al.  Limitations of Poole–Frenkel Conduction in Bilayer $\hbox{HfO}_{2}/\hbox{SiO}_{2}$ MOS Devices , 2010, IEEE Transactions on Device and Materials Reliability.

[39]  P. Verdonck,et al.  Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation , 2010 .

[40]  S. King,et al.  Reliability and performance limiting defects in low-к dielectrics for use as interlayer dielectrics , 2010, 2010 IEEE International Reliability Physics Symposium.

[41]  T. Kikkawa,et al.  Ultralow-k / Cu Damascene Multilevel Interconnects Using High Porosity and High Modulus Self-Assembled Porous Silica , 2010 .

[42]  Yoshiaki Kamigaki,et al.  Paramagnetic Defect Generation and Microstructure Change in Porous Low-k SiOCH Films with Vacuum Baking , 2010 .

[43]  Willi Volksen,et al.  Low dielectric constant materials. , 2010, Chemical reviews.

[44]  E. T. Ryan,et al.  Adjusting the Skeleton and Pore Structure of Porous SiCOH Dielectrics , 2009 .

[45]  P. Ho,et al.  Effects of ultraviolet radiation on ultra-low-dielectric constant thin film fracture properties , 2009 .

[46]  Denis Shamiryan,et al.  Effect of Porogen Residue on Chemical, Optical, and Mechanical Properties of CVD SiCOH Low-k Materials , 2009 .

[47]  Tony F. Heinz,et al.  The evolution of optical and electrical properties of low-k dielectrics under bias stress , 2009 .

[48]  Sean W. King,et al.  Intrinsic stress fracture energy measurements for PECVD thin films in the SiOxCyNz: H system , 2009, Microelectron. Reliab..

[49]  Gaddi S. Haase A model for electric degradation of interconnect low-k dielectrics in microelectronic integrated circuits , 2009 .

[50]  Kuei-Shu Chang-Liao,et al.  Electrical conduction and TDDB reliability characterization for low-k SiCO dielectric in Cu interconnects , 2008 .

[51]  T. Spooner,et al.  Conduction Mechanisms of Ta/Porous SiCOH Films under Electrical Bias , 2008 .

[52]  M. Buchmeiser,et al.  Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths , 2008 .

[53]  Zhenjiang Cui,et al.  Effects of UV cure on glass structure and fracture properties of nanoporous carbon-doped oxide thin films , 2008 .

[54]  Guido Groeseneken,et al.  Influence of absorbed water components on SiOCH low-k reliability , 2008 .

[55]  W. Driel,et al.  Chemical–mechanical relationship of amorphous/porous low-dielectric film materials , 2008 .

[56]  F. Iacopi,et al.  Optical Property Changes in Low-k Films upon Ultraviolet-Assisted Curing , 2008 .

[57]  O. Gourhant,et al.  The role of ultraviolet radiation during ultralow k films curing: Strengthening mechanisms and sacrificial porogen removal , 2007 .

[58]  S. Kondo,et al.  Carbon-Doped Silicon Oxide Films with Hydrocarbon Network Bonds for Low-k Dielectrics: Theoretical Investigations , 2007 .

[59]  S. Rhee,et al.  Quantitative Structure and Property Analysis of Nanoporous Low Dielectric Constant SiCOH Thin Films , 2007 .

[60]  Seiichi Kondo,et al.  Paramagnetic Defect Spin Centers in Porous SiOCH Film Investigated Using Electron Spin Resonance , 2007 .

[61]  S. Gates,et al.  Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition , 2007 .

[62]  V. Jousseaume,et al.  Comparison Between E-beam and Ultraviolet Curing to Perform Porous a-SiOC : H , 2007 .

[63]  S. Nakao,et al.  Ultraviolet-Curing Mechanism of Porous-SiOC , 2007 .

[64]  V. Arnal,et al.  Modification of porous ultra-low K dielectric by electron-beam curing , 2007, Microelectron. Reliab..

[65]  J. Son,et al.  The effect of porogen on physical properties in MTMS–BTMSE spin-on organosilicates , 2007 .

[66]  N. Rochat,et al.  Influence of electron-beam and ultraviolet treatments on low-k porous dielectrics , 2006 .

[67]  Guido Groeseneken,et al.  Internal photoemission of electrons at interfaces of metals with low-κ insulators , 2006 .

[68]  J. Michelon,et al.  Moisture influence on porous low-k reliability , 2006, IEEE Transactions on Device and Materials Reliability.

[69]  I. Stiharu,et al.  Synthesis and characterization of polyureasilazane derived SiCN ceramics , 2006 .

[70]  C. C. Chan,et al.  Preparation and Characterization of Porous Hydrogen Silsesquioxane by Sol-Gel Process , 2006 .

[71]  Karen Maex,et al.  Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation , 2006 .

[72]  C. Ye,et al.  Effect of Si–OH group on characteristics of SiCOH films prepared by decamethylcyclopentasiloxane electron cyclotron resonance plasma , 2006 .

[73]  V. Rouessac,et al.  Precursor chemistry for ULK CVD , 2005 .

[74]  J. Lloyd,et al.  Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics , 2005 .

[75]  Jun He,et al.  > Replace This Line with Your Paper Identification Number (double-click Here to Edit) < 1 Materials Impact on Interconnects Process Technology and Reliability , 2004 .

[76]  M. Liang,et al.  Physical and Barrier Properties of Amorphous Silicon-Oxycarbide Deposited by PECVD from Octamethylcyclotetrasiloxane , 2004 .

[77]  Ahila Krishnamoorthy,et al.  Dependence of leakage mechanisms on dielectric barrier in Cu-SiOC damascene interconnects , 2004 .

[78]  Tracey Scherban,et al.  Compositional effects on electrical and mechanical properties in carbon-doped oxide dielectric films: Application of Fourier-transform infrared spectroscopy , 2004 .

[79]  E. R. Fisher,et al.  Mechanisms of SiO2 film deposition from tetramethylcyclotetrasiloxane, dimethyldimethoxysilane, and trimethylsilane plasmas , 2004 .

[80]  W. J. Choyke,et al.  Identification of the carbon dangling bond center at the 4H-SiC/SiO(2) interface by an EPR study in oxidized porous SiC. , 2004, Physical review letters.

[81]  A. Grill,et al.  Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization , 2003 .

[82]  Ahila Krishnamoorthy,et al.  Investigation of electrical conduction in carbon-doped silicon oxide using a voltage ramp method , 2003 .

[83]  Karen Maex,et al.  Low dielectric constant materials for microelectronics , 2003 .

[84]  K. Maeda,et al.  Properties of Low-k Copper Barrier SiOCH Film Deposited by PECVD Using Hexamethyldisiloxane and N 2 O , 2003 .

[85]  S. Sze,et al.  Moisture-Induced Material Instability of Porous Organosilicate Glass , 2003 .

[86]  Günter Motz,et al.  Characterisation of the free-carbon phase in precursor-derived SiCN ceramics , 2001 .

[87]  S. R. Silva,et al.  Electron delocalization in amorphous carbon by ion implantation , 2001 .

[88]  S. R. Silva,et al.  EPR linewidth variation, spin relaxation times, and exchange in amorphous hydrogenated carbon , 2000 .

[89]  Y. Hayashi,et al.  Effect of Radio Frequency Power on the Properties of Hydrogenated Amorphous Carbon Films Grown by Radio Frequency Plasma-Enhanced Chemical Vapor Deposition. , 2000 .

[90]  P. Lenahan,et al.  Leakage currents and silicon dangling bonds in amorphous silicon dioxide thin films , 2000 .

[91]  J. F. Conley,et al.  What can electron paramagnetic resonance tell us about the Si/SiO2 system? , 1998 .

[92]  John Robertson,et al.  Influence of ion energy and substrate temperature on the optical and electronic properties of tetrah , 1997 .

[93]  Andersson,et al.  Electron states and microstructure of thin a-C:H layers. , 1996, Physical review. B, Condensed matter.

[94]  Hideo Kato,et al.  Physical properties of diamondlike carbon films deposited in mixed atmospheres of C2H4–Ar, C2H4–H2, and C2H4–N2 , 1996 .

[95]  Osamu Takai,et al.  Spectroscopic studies on preparation of silicon oxide films by PECVD using organosilicon compounds , 1996 .

[96]  Van de Sanden,et al.  Fast deposition of amorphous carbon films by an expanding cascaded arc plasma jet , 1995 .

[97]  John F. Conley,et al.  Room temperature reactions involving silicon dangling bond centers and molecular hydrogen in amorphous SiO2 thin films on silicon , 1993 .

[98]  J. F. Conley,et al.  Room temperature reactions involving silicon dangling bond centers and molecular hydrogen in amorphous SiO/sub 2/ thin films on silicon , 1992 .

[99]  J. F. Conley,et al.  Electron spin resonance of separation by implanted oxygen oxides: Evidence for structural change and a deep electron trap , 1992 .

[100]  W. J. Choyke,et al.  Atomic Hydrogen Driven Halogen Extraction from Si(100) -- Eley-Rideal Surface Kinetics , 1992 .

[101]  H. Ehrhardt,et al.  Characterization of the sp2 bonds network in a-C:H layers with nuclear magnetic resonance, electron energy loss spectroscopy and electron spin resonance , 1991 .

[102]  W. L. Warren,et al.  Electrically neutral nitrogen dangling-bond defects in amorphous hydrogenated silicon nitride thin films , 1991 .

[103]  Demichelis,et al.  pi bands and gap states from optical absorption and electron-spin-resonance studies on amorphous carbon and amorphous hydrogenated carbon films. , 1991, Physical review. B, Condensed matter.

[104]  Warren,et al.  Electron-nuclear double-resonance and electron-spin-resonance study of silicon dangling-bond centers in silicon nitride. , 1990, Physical review. B, Condensed matter.

[105]  Patrick M. Lenahan,et al.  First observation of the 29Si hyperfine spectra of silicon dangling bond centers in silicon nitride , 1990 .

[106]  Krick,et al.  Nature of the dominant deep trap in amorphous silicon nitride. , 1988, Physical review. B, Condensed matter.

[107]  D. Krick,et al.  Electrically active point defects in amorphous silicon nitride: An illumination and charge injection study , 1988 .

[108]  Patrick M. Lenahan,et al.  Electron‐spin‐resonance study of radiation‐induced paramagnetic defects in oxides grown on (100) silicon substrates , 1988 .

[109]  David L. Griscom,et al.  On the structures of hydrogen-associated defect centers in irradiated high-purity a-SiO2:OH , 1987 .

[110]  Patrick M. Lenahan,et al.  Hole traps and trivalent silicon centers in metal/oxide/silicon devices , 1984 .

[111]  J. Robertson,et al.  Theory of defects in vitreous silicon dioxide , 1983 .

[112]  E. Friebele,et al.  Fundamental defect centers in glass: Si 29 hyperfine structure of the nonbridging oxygen hole center and the peroxy radical in a -Si O 2 , 1981 .

[113]  Nobuhiko Ishii,et al.  The g -Values of Defects in Amorphous C, Si and Ge , 1981 .

[114]  Bruce E. Deal,et al.  ESR centers, interface states, and oxide fixed charge in thermally oxidized silicon wafers , 1979 .

[115]  D. Griscom E' center in glassy SiO 2 : Microwave saturation properties and confirmation of the primary 29 Si hyperfine structure , 1979 .

[116]  J. Vitko,et al.  ESR studies of hydrogen hyperfine spectra in irradiated vitreous silica , 1978 .

[117]  W. A. Pliskin Comparison of properties of dielectric films deposited by various methods , 1977 .

[118]  M. Vasile,et al.  Organosilicon Films Formed by an RF Plasma Polymerization Process , 1972 .

[119]  Vinay Ambegaokar,et al.  Hopping Conductivity in Disordered Systems , 1971 .

[120]  Simon M. Sze,et al.  Current Transport and Maximum Dielectric Strength of Silicon Nitride Films , 1967 .

[121]  John G. Simmons,et al.  Poole-Frenkel Effect and Schottky Effect in Metal-Insulator-Metal Systems , 1967 .

[122]  W. Gordy,et al.  ESR of Free Radicals Trapped in Inert Matrices at Low Temperature: CH3, SiH3, GeH3, and SnH3 , 1966 .

[123]  J. O'dwyer Current-Voltage Characteristics of Dielectric Films , 1966 .

[124]  J. Simmons Generalized Formula for the Electric Tunnel Effect between Similar Electrodes Separated by a Thin Insulating Film , 1963 .

[125]  M. Weger Passage effects in paramagnetic resonance experiments , 1960 .

[126]  Hyde,et al.  TECHNICAL REPORT 141: SATURATION OF THE MAGNETIC RESONANCE ABSORPTION IN DILUTE INHOMOGENEOUSLY BROADENED SYSTEMS. TECHNICAL REPORT 142: MAGNETIC RESONANCE AND RAPID PASSAGE IN IRRADIATED LiF , 1960 .