Design and Testing Strategies for Modular 3-D-Multiprocessor Systems Using Die-Level Through Silicon Via Technology

An innovative modular 3-D stacked multi-processor architecture is presented. The platform is composed of completely identical stacked dies connected together by through-silicon-vias (TSVs). Each die features four 32-bit embedded processors and associated memory modules, interconnected by a 3-D network-on-chip (NoC), which can route packets in the vertical direction. Superimposing identical planar dies minimizes design effort and manufacturing costs, ensuring at the same time high flexibility and reconfigurability. A single die can be used either as a fully testable standalone chip multi-processor (CMP), or integrated in a 3-D stack, increasing the overall core count and consequently the system performance. To demonstrate the feasibility of this architecture, fully functional samples have been fabricated using a conventional UMC 90 nm complementary metal-oxide-semiconductor process and stacked using an in-house, via-last Cu-TSV process. Initial results show that the proposed 3-D-CMP is capable of operating at a target frequency of 400 MHz, supporting a vertical data bandwidth of 3.2 Gb/s.

[1]  John L. Gustafson,et al.  Reevaluating Amdahl's law , 1988, CACM.

[2]  Y. Leblebici,et al.  A CMOS-compatible chip-to-chip 3D integration platform , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[3]  Philip G. Emma,et al.  Interconnects in the Third Dimension: Design Challenges for 3D ICs , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[4]  Katsuyuki Sakuma,et al.  3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections , 2008, IBM J. Res. Dev..

[5]  H. Peter Hofstee,et al.  Introduction to the Cell multiprocessor , 2005, IBM J. Res. Dev..

[6]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[7]  Madhavan Swaminathan,et al.  Electrical modeling of Through Silicon and Package Vias , 2009, 2009 IEEE International Conference on 3D System Integration.

[8]  Jun Yang,et al.  Thermal-Aware Task Scheduling for 3D Multicore Processors , 2010, IEEE Transactions on Parallel and Distributed Systems.

[9]  Krisztián Flautner,et al.  PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor , 2006, ASPLOS XII.

[10]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[11]  Gabriel H. Loh A modular 3d processor for flexible product design and technology migration , 2008, CF '08.

[12]  Eby G. Friedman,et al.  Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[13]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[14]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[15]  Krishnendu Chakrabarty,et al.  Pre-bond probing of TSVs in 3D stacked ICs , 2011, 2011 IEEE International Test Conference.

[16]  James Reinders,et al.  Intel® threading building blocks , 2008 .

[17]  Erik Jan Marinissen,et al.  Testing TSV-based three-dimensional stacked ICs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[18]  Sung Kyu Lim,et al.  Design and Test of 3 D-MAPS , a 3 D Die-Stack Many-Core Processor , 2010 .

[19]  G. Amdhal,et al.  Validity of the single processor approach to achieving large scale computing capabilities , 1967, AFIPS '67 (Spring).

[20]  C. Bower,et al.  High density vertical interconnects for 3-D integration of silicon integrated circuits , 2006, 56th Electronic Components and Technology Conference 2006.

[21]  Hsien-Hsin S. Lee,et al.  An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[22]  Yusuf Leblebici,et al.  Design and feasibility of multi-Gb/s quasi-serial vertical interconnects based on TSVs for 3D ICs , 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

[23]  Yuan Xie Processor Architecture Design Using 3D Integration Technology , 2010, 2010 23rd International Conference on VLSI Design.

[24]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[25]  Fabien Clermidy,et al.  3D Embedded multi-core: Some perspectives , 2011, 2011 Design, Automation & Test in Europe.

[26]  S.L. Wright,et al.  3-D Silicon Integration and Silicon Packaging Technology Using Silicon Through-Vias , 2006, IEEE Journal of Solid-State Circuits.

[27]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[28]  Y. Leblebici,et al.  Fabrication and characterization of wafer-level deep TSV arrays , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[29]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[30]  Gabriel H. Loh,et al.  Thermal analysis of a 3D die-stacked high-performance microprocessor , 2006, GLSVLSI '06.

[31]  Hsien-Hsin S. Lee,et al.  Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.

[32]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[33]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[34]  M.B. Steer,et al.  Design for 3D Integration and Applications , 2007, 2007 International Symposium on Signals, Systems and Electronics.

[35]  Luca Benini,et al.  An efficient distributed memory interface for many-core platform with 3D stacked DRAM , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[36]  Mahmut T. Kandemir,et al.  Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[37]  Ben H. H. Juurlink,et al.  Parallel H.264 Decoding on an Embedded Multicore Processor , 2009, HiPEAC.