Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model

Recent study shows that the existing first order canonical timing model is not sufficient to represent the dependency of the gate delay on the variation sources when processing and operational variations become more and more significant. Due to the nonlinearity of the mapping from variation sources to the gate/wire delay, the distribution of the delay is no longer Gaussian even if the variation sources are normally distributed. A novel quadratic timing model is proposed to capture the non-linearity of the dependency of gate/wire delays and arrival times on the variation sources. Systematic methodology is also developed to evaluate the correlation and distribution of the quadratic timing model. Based on these, a novel statistical timing analysis algorithm is propose which retains the complete correlation information during timing analysis and has the same computation complexity as the algorithm based on the canonical timing model. Tested on the ISCAS circuits, the proposed algorithm shows 10 /spl times/ accuracy improvement over the existing first order algorithm while no significant extra runtime is needed.

[1]  Sachin S. Sapatnekar,et al.  Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.

[2]  S.R. Nassif Within-chip variability analysis , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[3]  Masahiro Fukui,et al.  A statistical static timing analysis considering correlations between delays , 2001, ASP-DAC '01.

[4]  Rajendran Panda,et al.  Statistical delay computation considering spatial correlations , 2003, ASP-DAC '03.

[5]  Sani R. Nassif,et al.  Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[6]  L. M. M.-T. Theory of Probability , 1929, Nature.

[7]  Kwang-Ting Cheng,et al.  False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation , 2002, DAC '02.

[8]  David Blaauw,et al.  Statistical timing analysis using bounds and selective enumeration , 2003, TAU '02.

[9]  C. E. Clark The Greatest of a Finite Set of Random Variables , 1961 .

[10]  Lawrence T. Pileggi,et al.  Asymptotic probability extraction for non-normal distributions of circuit performance , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[11]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  M. Tanaka,et al.  A statistical static timing analysis considering correlations between delays , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

[13]  L. Pileggi,et al.  Asymptotic probability extraction for non-normal distributions of circuit performance , 2004, ICCAD 2004.

[14]  Kurt Keutzer,et al.  A general probabilistic framework for worst case timing analysis , 2002, DAC '02.

[15]  David Blaauw,et al.  Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.

[16]  David Blaauw,et al.  /spl tau/AU: Timing analysis under uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[17]  D. Blaauw,et al.  "AU: Timing Analysis Under Uncertainty , 2003, ICCAD 2003.

[18]  Jing-Jia Liou,et al.  False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[19]  Chandramouli V. Kashyap,et al.  Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.