A Self-Disabled Sensing Technique for Content-Addressable Memories

A low-power content-addressable memory (CAM) using a differential match line (ML) sense amplifier is proposed in this work. The proposed self-disabled sensing technique can choke the charge current fed into the ML right after the matching comparison is generated. Instead of using typical nor/ nand-type CAM cells with the single-ended ML, the proposed novel nand CAM cell with the differential ML design can boost the speed of comparison without sacrificing power consumption. In addition, the 9-T CAM cell with disabled read-out circuit provides the complete write, read, and comparison functions to refresh the data and verify its correctness before searching. The CAM with the proposed technique is implemented on silicon to justify the performance by using a standard 0.13-¿m complementary metal-oxide-semiconductor process. The energy consumption of the searching process is 1.872 fJ/bit/search.

[1]  G. Prenat,et al.  Towards an ultra-low power, high density and non-volatile Ternary CAM , 2008, 2008 9th Annual Non-Volatile Memory Technology Symposium (NVMTS).

[2]  C.H. Kim,et al.  A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.

[3]  Bin-Da Liu,et al.  A low-power precomputation-based fully parallel content-addressable memory , 2003, IEEE J. Solid State Circuits.

[4]  Ali Sheikholeslami,et al.  A mismatch-dependent power allocation technique for match-line sensing in content-addressable memories , 2003 .

[5]  A.A. Popova,et al.  Multilingual Names Database Searching Enhancement , 2008, 2008 IEEE International Symposium on Signal Processing and Information Technology.

[6]  K. Pagiamtzis,et al.  Content-addressable memory (CAM) circuits and architectures: a tutorial and survey , 2006, IEEE Journal of Solid-State Circuits.

[7]  Lee-Sup Kim,et al.  A low-power CAM using pulsed NAND-NOR match-line and charge-recycling search-line driver , 2005 .

[8]  Bertil Schmidt,et al.  High Performance Database Searching with HMMer on FPGAs , 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

[9]  Shanq-Jang Ruan,et al.  Low Power Design of Precomputation-Based Content-Addressable Memory , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Chia-Hung Wei,et al.  Static divided word matching line for low-power Content Addressable Memory design , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[11]  Xin Yang,et al.  Novel Content Addressable Memory Architecture for Adaptive Systems , 2007, Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007).

[12]  Xin Yang,et al.  A versatile content addressable memory architecture , 2007, 2007 IEEE International SOC Conference.

[13]  Hisatada Miyatake,et al.  A design for high-speed low-power CMOS fully parallel content-addressable memory macros , 2001 .